]> rtime.felk.cvut.cz Git - fpga/uart.git/shortlog
fpga/uart.git
2011-05-18 Vladimir BurianResets changed from asynchronous to synchronous. master
2011-05-18 Vladimir BurianEarly initialization of all relevant signals.
2011-02-04 Vladimir BurianReceiving capability added to the top component.
2011-02-04 Vladimir BurianRX modul synchronization changed to falling edges.
2011-02-04 Vladimir BurianBaud generator ClockEnable added.
2011-02-04 Vladimir BurianReceiver control FSM prototype.
2011-02-04 Vladimir BurianFirst prototype of receiver shift register.
2011-01-28 Vladimir BurianSome comments added.
2011-01-22 Vladimir BurianClear of FIFO overflow flag capability added.
2011-01-22 Vladimir BurianBaud_gen scale input width redefined as generic. Defaul...
2011-01-22 Vladimir BurianFirst working prototype of HW UART - TX part.