]> rtime.felk.cvut.cz Git - fpga/uart.git/commit
Clear of FIFO overflow flag capability added.
authorVladimir Burian <buriavl2@fel.cvut.cz>
Sat, 22 Jan 2011 22:41:13 +0000 (23:41 +0100)
committerVladimir Burian <buriavl2@fel.cvut.cz>
Sat, 22 Jan 2011 22:41:13 +0000 (23:41 +0100)
commit6e4653e1cd81d552ee2a1b20fb73df26119b78ac
tree2b319e820e079d654b19a90441d29e0d99d020fa
parent710bc702eca808abd81aeee600e8e1b669ced457
Clear of FIFO overflow flag capability added.
fifo.vhd
uart.vhd