]> rtime.felk.cvut.cz Git - fpga/uart.git/commit
First working prototype of HW UART - TX part.
authorVladimir Burian <buriavl2@fel.cvut.cz>
Sat, 22 Jan 2011 21:53:46 +0000 (22:53 +0100)
committerVladimir Burian <buriavl2@fel.cvut.cz>
Sat, 22 Jan 2011 21:53:46 +0000 (22:53 +0100)
commit2ebabd4dbaa364e876a5edd9f393cb778829217c
treef126615165e21a23591217366e2a8b197a49348f
First working prototype of HW UART - TX part.
baud_gen.vhd [new file with mode: 0644]
fifo.vhd [new file with mode: 0644]
tb/Makefile [new file with mode: 0644]
tb/tb_baud_gen.vhd [new file with mode: 0644]
tb/tb_fifo.vhd [new file with mode: 0644]
tb/tb_tx.vhd [new file with mode: 0644]
tb/tb_uart.vhd [new file with mode: 0644]
tx.vhd [new file with mode: 0644]
tx_control.vhd [new file with mode: 0644]
uart.vhd [new file with mode: 0644]