use ieee.std_logic_unsigned.all;
entity baud_gen is
+ generic (
+ SCALE_WIDTH : integer := 16
+ );
port (
clk : in std_logic;
reset : in std_logic;
- scale : in std_logic_vector (15 downto 0);
+ scale : in std_logic_vector (SCALE_WIDTH-1 downto 0);
clk_baud : out std_logic
);
end baud_gen;
architecture behavioral of baud_gen is
- signal counter : std_logic_vector (15 downto 0);
+ signal counter : std_logic_vector (SCALE_WIDTH-1 downto 0);
signal clk_baud_s : std_logic;
--------------------------------------------------------------------------------