]> rtime.felk.cvut.cz Git - fpga/uart.git/tree
Clear of FIFO overflow flag capability added.
-rw-r--r-- 1139 baud_gen.vhd
-rw-r--r-- 2414 fifo.vhd
drwxr-xr-x - tb
-rw-r--r-- 1695 tx.vhd
-rw-r--r-- 1806 tx_control.vhd
-rw-r--r-- 4577 uart.vhd