]> rtime.felk.cvut.cz Git - fpga/virtex2/msp_motion.git/history - msp_motion.vhd
Modified sine wave output.
[fpga/virtex2/msp_motion.git] / msp_motion.vhd
2011-05-18 Vladimir BurianPWM output polarity inverted.
2011-05-18 Vladimir BurianImproper top module signals initialization.
2011-05-18 Vladimir BurianReset changed to use Global Set Reset network.
2011-05-01 Vladimir BurianError in MCU external data bus multiplexer
2011-04-17 Vladimir BurianMotor feedback IRQ generator
2011-04-17 Vladimir BurianMCU interface to Qcount (32-bit output)
2011-04-17 Vladimir BurianGPIO connected to MCU
2011-04-17 Vladimir BurianMCU peripheral bus signals declared
2011-04-17 Vladimir BurianPWM (MCC) connected to MCU
2011-04-14 Vladimir BurianTop-level modul created.