2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
7 use unisim.vcomponents.all;
9 --------------------------------------------------------------------------------
14 CLK_24MHz : in std_logic;
25 PWM0_EN : out std_logic;
27 PWM1_EN : out std_logic;
29 PWM2_EN : out std_logic;
31 IRC_INDEX : in std_logic;
40 --------------------------------------------------------------------------------
42 architecture rtl of msp_motion is
44 ------------------------------------------------------------------------------
45 -- OpenMSP430 softcore MCU module
46 ------------------------------------------------------------------------------
47 signal mclk : std_logic;
48 signal puc : std_logic;
50 signal dmem_addr : std_logic_vector (11 downto 0);
51 signal dmem_ce : std_logic;
52 signal dmem_we : std_logic;
53 signal dmem_din : std_logic_vector (15 downto 0);
54 signal dmem_dout : std_logic_vector (15 downto 0);
56 signal per_din : std_logic_vector (15 downto 0);
57 signal per_dout : std_logic_Vector (15 downto 0);
58 signal per_wen : std_logic_vector (1 downto 0);
59 signal per_wen16 : std_logic;
60 signal per_en : std_logic;
61 signal per_addr : std_logic_vector (7 downto 0);
63 ------------------------------------------------------------------------------
65 ------------------------------------------------------------------------------
67 signal GPIO_IN : std_logic_vector (15 downto 0);
68 signal GPIO_OUT : std_logic_vector (15 downto 0);
69 signal GPIO_DAT_O : std_logic_vector (15 downto 0);
70 signal GPIO_SEL : std_logic;
72 ------------------------------------------------------------------------------
73 -- Dual-port shared memory
74 ------------------------------------------------------------------------------
75 -- These signals of A-port (MCU) enables creation of external data encoder and
76 -- multiplexer in a case of multiple devices connected to the external data
77 -- bus. Otherwise useless.
78 signal DPA_DAT_O : std_logic_vector (15 downto 0);
79 signal DPA_SEL : std_logic;
80 signal DPA_STB : std_logic;
81 -- Auxiliary register used to generate IRF_ACK
82 signal IRF_ACK_REG : std_logic;
83 -- Auxiliary signal used to form B-port address
84 signal DPB_ADR : std_logic_vector (9 downto 0);
86 ------------------------------------------------------------------------------
87 -- Motion Control Chain
88 ------------------------------------------------------------------------------
90 constant PWM_W : integer := 10;
91 constant LUT_ADR_W : integer := 11;
92 constant LUT_INIT : string := "sin1000.lut";
94 -- Bus interface to the shared memory
95 signal IRF_ACK : std_logic;
96 signal IRF_ADR : std_logic_vector (4 downto 0);
97 signal IRF_DAT_I : std_logic_vector (15 downto 0);
98 signal IRF_DAT_O : std_logic_vector (15 downto 0);
99 signal IRF_STB : std_logic;
100 signal IRF_WE : std_logic;
101 -- Wave look-up table
102 signal LUT_ADR : std_logic_vector (LUT_ADR_W-1 downto 0);
103 signal LUT_DAT_O : std_logic_vector (PWM_W-1 downto 0);
104 signal LUT_STB : std_logic;
105 -- MCC execution control
106 signal MCC_ACK : std_logic;
107 signal MCC_STB : std_logic;
109 ------------------------------------------------------------------------------
111 ------------------------------------------------------------------------------
112 signal PWM_CNT : std_logic_vector (PWM_W-1 downto 0);
113 signal PWM_OW : std_logic; -- PWM counter overflow
114 -- PWM interface to the MCC
115 signal PWM_DAT : std_logic_vector (PWM_W-1 downto 0);
116 signal PWM1_STB : std_logic;
117 signal PWM2_STB : std_logic;
118 signal PWM3_STB : std_logic;
120 signal PWM1_OUT : std_logic;
121 signal PWM2_OUT : std_logic;
122 signal PWM3_OUT : std_logic;
124 signal QCNT : std_logic_vector (31 downto 0);
126 --------------------------------------------------------------------------------
130 ------------------------------------------------------------------------------
131 -- OpenMSP430 softcore MCU module
132 ------------------------------------------------------------------------------
133 openMSP430_1 : entity work.openMSP430_8_32_mul_dbus
135 dco_clk => CLK_24MHz,
140 per_addr => per_addr,
142 per_dout => per_dout,
146 irq => (others => '0'),
152 dmem_addr => dmem_addr,
155 dmem_din => dmem_din,
156 dmem_dout => dmem_dout);
158 -- External data bus address decoder and data multiplexer.
159 ------------------------------------------------------------------------------
160 -- This statement leads to priority encoder (which should be avoided), but for
161 -- a small mux it doesn't matter and it's better readable.
162 dmem_dout <= DPA_DAT_O when DPA_SEL = '1' else
165 DPA_SEL <= '1' when dmem_addr (11 downto 10) = "00" else '0';
166 DPA_STB <= dmem_ce and DPA_SEL;
168 -- Peripheral bus address decoder and data multiplexer.
169 ------------------------------------------------------------------------------
170 per_dout <= GPIO_DAT_O when GPIO_SEL = '1' else
171 (others => '0'); -- MUST be 0 when nothing is addressed
173 GPIO_SEL <= '1' when per_addr(7 downto 2) = 16#0140#/2/4 else '0';
176 ------------------------------------------------------------------------------
178 ------------------------------------------------------------------------------
182 GPIO_IN(3) <= IRC_INDEX;
184 gpio_0 : entity work.gpio
189 ADR_I => per_addr (1 downto 0),
202 ------------------------------------------------------------------------------
203 -- Dual-port shared memory
204 ------------------------------------------------------------------------------
205 -- Shared memory between MCU and MCC (size: 16+2 bits x 1k).
206 -- Port A (MCU side) has a priority of writing.
207 shared_mem : RAMB16_S18_S18
209 WRITE_MODE_A => "READ_FIRST",
210 WRITE_MODE_B => "WRITE_FIRST")
213 ADDRA => dmem_addr (9 downto 0),
233 -- B-Port address (10 bits) constructed from IRF_ADR (5 bits). Upper addr bits
234 -- are forced to '0', but in a case of several axes these can be used to
235 -- address memory space of the appropriate one.
236 DPB_ADR (9 downto 5) <= (others => '0');
237 DPB_ADR (4 downto 0) <= IRF_ADR;
239 -- Generation of IRF acknowledge signal for MCC.
240 IRF_ACK <= IRF_STB and (IRF_WE or IRF_ACK_REG);
242 -- IRF_ACK_REG signalizes that data is present on IRF_DAT_O when reading.
243 irf_read : process (mclk, puc) is
245 if rising_edge(mclk) then
249 IRF_ACK_REG <= IRF_STB and not IRF_WE;
255 ------------------------------------------------------------------------------
256 -- Motion Control Chain
257 ------------------------------------------------------------------------------
258 mcc_exec_1 : entity work.mcc_exec
263 MCC_EXEC_I => PWM_OW,
265 MCC_ACK_I => MCC_ACK,
266 MCC_STB_O => MCC_STB);
268 mcc_1 : entity work.mcc
271 LUT_ADR_W => LUT_ADR_W)
277 LUT_STB_O => LUT_STB,
278 LUT_ADR_O => LUT_ADR,
279 LUT_DAT_I => LUT_DAT_O,
280 IRC_DAT_I => QCNT (15 downto 0),
281 PWM_DAT_O => PWM_DAT,
282 PWM1_STB_O => PWM1_STB,
283 PWM2_STB_O => PWM2_STB,
284 PWM3_STB_O => PWM3_STB,
285 IRF_ACK_I => IRF_ACK,
286 IRF_ADR_O => IRF_ADR,
287 IRF_DAT_I => IRF_DAT_O,
288 IRF_DAT_O => IRF_DAT_I,
289 IRF_STB_O => IRF_STB,
292 wave_table_1 : entity work.wave_table
296 INIT_FILE => LUT_INIT)
301 DAT_I => conv_std_logic_vector(0, PWM_W),
307 ------------------------------------------------------------------------------
309 ------------------------------------------------------------------------------
310 -- PWM counter is shared by all PWM generators. Generator contains only
311 -- comparator and desired value.
312 counter_1 : entity work.counter
323 pwm_1 : entity work.pwm
335 pwm_2 : entity work.pwm
347 pwm_3 : entity work.pwm
359 -- PWM signals mapped to FPGA outputs, EN forced to '1'
366 -- PWM is signalized on LEDs
371 qcounter_1 : entity work.qcounter