]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-dad.git/search
Disable use of unisim library to allow simulation by GHDL.