]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-dad.git/commit
Disable use of unisim library to allow simulation by GHDL.
authorPavel Pisa <pisa@cmp.felk.cvut.cz>
Sun, 15 Feb 2015 02:50:08 +0000 (03:50 +0100)
committerPavel Pisa <pisa@cmp.felk.cvut.cz>
Sun, 15 Feb 2015 02:50:08 +0000 (03:50 +0100)
commitcafd459aa87770bce44d15a1951bf48e9a18c05b
tree9ceb62bad318a1cac9a2ac82c05d51e05456b790
parent217e4a7a6c6bb4adeb62e207e3b38a37a901a8ce
Disable use of unisim library to allow simulation by GHDL.

Signed-off-by: Pavel Pisa <pisa@cmp.felk.cvut.cz>
hw/lx_dad_top.vhd