]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-dad.git/commitdiff
Disable use of unisim library to allow simulation by GHDL.
authorPavel Pisa <pisa@cmp.felk.cvut.cz>
Sun, 15 Feb 2015 02:50:08 +0000 (03:50 +0100)
committerPavel Pisa <pisa@cmp.felk.cvut.cz>
Sun, 15 Feb 2015 02:50:08 +0000 (03:50 +0100)
Signed-off-by: Pavel Pisa <pisa@cmp.felk.cvut.cz>
hw/lx_dad_top.vhd

index 0905804bbd675c58623420da65eba60d56e34ce1..36a3eb3e5b46182cc7b4272c8707a3b62b6e347e 100644 (file)
@@ -4,8 +4,9 @@ use ieee.std_logic_arith.all;
 use ieee.std_logic_unsigned.all;
 use ieee.numeric_std.all;
 
-library unisim;
-use unisim.vcomponents.all;
+-- Disable next libraries for simulation in GHDL
+--library unisim;
+--use unisim.vcomponents.all;
 
 use work.lx_dad_pkg.all;