This makes GHDL happy and can be used in standard mode.
Signed-off-by: Pavel Pisa <pisa@cmp.felk.cvut.cz>
library ieee;
use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.lx_dad_pkg.all;
library ieee;
use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.lx_dad_pkg.all;
library ieee;
use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.lx_dad_pkg.all;
library ieee;
use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.lx_dad_pkg.all;
library ieee;
use ieee.std_logic_1164.all;
-use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.util_pkg.all;
use work.lx_dad_pkg.all;
library ieee;
use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.util_pkg.all;
library ieee;
use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
-- Disable next libraries for simulation in GHDL
library ieee;
use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.lx_dad_pkg.all;
library ieee;
use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.lx_dad_pkg.all;
CFLAGS += -Wall
GHDLFLAGS+=
-ANALYZEFLAGS+=--std=93c --ieee=synopsys -fexplicit -ggdb
+ANALYZEFLAGS+=--std=93c -fexplicit -ggdb
#SIM_FLAGS=--stop-time=1000ns #--ieee-asserts=disable