]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-dad.git/commitdiff
Remove nonstandard ieee.std_logic_arith and ieee.std_logic_unsigned libraries.
authorPavel Pisa <pisa@cmp.felk.cvut.cz>
Sun, 15 Feb 2015 03:08:47 +0000 (04:08 +0100)
committerPavel Pisa <pisa@cmp.felk.cvut.cz>
Sun, 15 Feb 2015 03:08:47 +0000 (04:08 +0100)
This makes GHDL happy and can be used in standard mode.

Signed-off-by: Pavel Pisa <pisa@cmp.felk.cvut.cz>
hw/bus_example.vhd
hw/bus_measurement.vhd
hw/dff2.vhd
hw/dff3.vhd
hw/lx_crosdom_ser_fifo.vhd
hw/lx_dad_pkg.vhd
hw/lx_dad_top.vhd
hw/lx_example_mem.vhd
hw/measurement_register.vhd
hw/tb/Makefile

index ea14f5e5b59bf1e02a2ce36d48f3a552586591f7..c11eaebbbc0bece7e905ad2d4ea0c39f89ba65d0 100644 (file)
@@ -1,8 +1,6 @@
 library ieee;
 
 use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
 use ieee.numeric_std.all;
 use work.lx_dad_pkg.all;
 
index b10f1ac4f9f3a771fe09db3e57ae17fd5560f918..4a5fe8ab6bcfb9d25fa4f59eb6f9f599f4bd8e13 100644 (file)
@@ -1,7 +1,5 @@
 library ieee;
 use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
 use ieee.numeric_std.all;
 use work.lx_dad_pkg.all;
 
index 5560dd1f58726c6dc90ce58948e5cf9c500cc287..cb889fffc7417fce106ca237125a041878a6e55c 100644 (file)
@@ -1,7 +1,5 @@
 library ieee;
 use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
 use ieee.numeric_std.all;
 use work.lx_dad_pkg.all;
 
index 7e31e593f4234a78af0951b40cf0f91d20a72134..8420392ce87944244b2a4c1c9434c5984fbd8d10 100644 (file)
@@ -1,7 +1,5 @@
 library ieee;
 use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
 use ieee.numeric_std.all;
 use work.lx_dad_pkg.all;
 
index 49846c5a2168fd043981c6c69abc6a8d728c2470..d7b953dee503c5b450525a31449fc6083ac70155 100644 (file)
@@ -28,7 +28,6 @@
 
 library ieee;
 use ieee.std_logic_1164.all;
-use ieee.std_logic_unsigned.all;
 use ieee.numeric_std.all;
 use work.util_pkg.all;
 use work.lx_dad_pkg.all;
index 387c822a3cabc91a9d80e1201c2d1e1f469de8b0..02306e20b7ac6701cb8d25c434b254d4414409a8 100644 (file)
@@ -1,7 +1,5 @@
 library ieee;
 use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
 use ieee.numeric_std.all;
 use work.util_pkg.all;
 
index 36a3eb3e5b46182cc7b4272c8707a3b62b6e347e..2ee35f7500baba12bf98bed116d7b99b26c1d7b6 100644 (file)
@@ -1,7 +1,5 @@
 library ieee;
 use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
 use ieee.numeric_std.all;
 
 -- Disable next libraries for simulation in GHDL
index 89e8e856dd27f0c4f3489f7b6d5cbde07c80a77d..edae8769c503a7a4ce54340ba1f6c282ad0d33fc 100644 (file)
@@ -1,8 +1,6 @@
 library ieee;
 
 use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
 use ieee.numeric_std.all;
 use work.lx_dad_pkg.all;
 
index 8cfd103d053297c9e14f33ff196ad2621090c710..0192cdb38fa5fed9de5511bd328139c49472e616 100644 (file)
@@ -1,7 +1,5 @@
 library ieee;
 use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
 use ieee.numeric_std.all;
 use work.lx_dad_pkg.all;
 
index 7a7fd2c78d3345256ab8a8256a4d1be0a255ed01..537c798123f7db4d20ab198bc10378c42f97bf2c 100644 (file)
@@ -19,7 +19,7 @@ SRC+=lx_dad_top_tb.vhd
 CFLAGS += -Wall
 
 GHDLFLAGS+=
-ANALYZEFLAGS+=--std=93c --ieee=synopsys -fexplicit -ggdb
+ANALYZEFLAGS+=--std=93c -fexplicit -ggdb
 
 #SIM_FLAGS=--stop-time=1000ns #--ieee-asserts=disable