3 use ieee.std_logic_1164.all;
4 use ieee.numeric_std.all;
5 use work.lx_dad_pkg.all;
7 -- 8 kB data memory for internal state automata
8 -- Can be accessed from the Master CPU
10 entity lx_example_mem is
13 -- Memory wiring for internal state automata use
16 adr_i : in std_logic_vector(9 downto 0);
17 bls_i : in std_logic_vector(3 downto 0);
18 dat_i : in std_logic_vector(31 downto 0);
19 dat_o : out std_logic_vector(31 downto 0);
20 -- Memory wiring for Master CPU
23 we_m : in std_logic_vector(3 downto 0);
24 addr_m : in std_logic_vector(9 downto 0);
25 din_m : in std_logic_vector(31 downto 0);
26 dout_m : out std_logic_vector(31 downto 0)
30 architecture rtl of lx_example_mem is
33 I_RAMB: xilinx_dualport_bram
39 port_a_type => READ_FIRST,
40 port_b_type => READ_FIRST
44 -- Internal state automata port