]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-dad.git/commit
Remove nonstandard ieee.std_logic_arith and ieee.std_logic_unsigned libraries.
authorPavel Pisa <pisa@cmp.felk.cvut.cz>
Sun, 15 Feb 2015 03:08:47 +0000 (04:08 +0100)
committerPavel Pisa <pisa@cmp.felk.cvut.cz>
Sun, 15 Feb 2015 03:08:47 +0000 (04:08 +0100)
commit727f8eb717f97b5091b97deebe75370601e9cedd
tree38316f2bd89dea7a4fc20cfd9d92b9c82c1b4ce7
parentf6ad3f5023804a2f83e289434ca3d398d6171735
Remove nonstandard ieee.std_logic_arith and ieee.std_logic_unsigned libraries.

This makes GHDL happy and can be used in standard mode.

Signed-off-by: Pavel Pisa <pisa@cmp.felk.cvut.cz>
hw/bus_example.vhd
hw/bus_measurement.vhd
hw/dff2.vhd
hw/dff3.vhd
hw/lx_crosdom_ser_fifo.vhd
hw/lx_dad_pkg.vhd
hw/lx_dad_top.vhd
hw/lx_example_mem.vhd
hw/measurement_register.vhd
hw/tb/Makefile