]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-dad.git/commit
Include testbed for simulation in GHDL.
authorPavel Pisa <pisa@cmp.felk.cvut.cz>
Sun, 15 Feb 2015 02:54:39 +0000 (03:54 +0100)
committerPavel Pisa <pisa@cmp.felk.cvut.cz>
Sun, 15 Feb 2015 02:54:39 +0000 (03:54 +0100)
commitf6ad3f5023804a2f83e289434ca3d398d6171735
treed5259f71c11dd00abf3aeddc9eb733ab007cd909
parentcafd459aa87770bce44d15a1951bf48e9a18c05b
Include testbed for simulation in GHDL.

Some top level attributes required for safe build for real hardware
with asynchronous external access has to be disabled for GHDL simulation.

  -- XST attributes
  attribute REGISTER_DUPLICATION : string;
- attribute REGISTER_DUPLICATION of rd : signal is "NO";
+ --attribute REGISTER_DUPLICATION of rd : signal is "NO";
  attribute REGISTER_DUPLICATION of rd_f_s : signal is "NO";
- attribute REGISTER_DUPLICATION of bls : signal is "NO";
+ --attribute REGISTER_DUPLICATION of bls : signal is "NO";
  attribute REGISTER_DUPLICATION of bls_f_s : signal is "NO";
- attribute REGISTER_DUPLICATION of address : signal is "NO";
+ --attribute REGISTER_DUPLICATION of address : signal is "NO";
  attribute REGISTER_DUPLICATION of address_f_s : signal is "NO";
- attribute REGISTER_DUPLICATION of cs0_xc : signal is "NO";
+ --attribute REGISTER_DUPLICATION of cs0_xc : signal is "NO";
  attribute REGISTER_DUPLICATION of cs0_xc_f_s : signal is "NO";

  -- XST attributes
  attribute REGISTER_DUPLICATION : string;
- attribute REGISTER_DUPLICATION of rd : signal is "NO";
+ --attribute REGISTER_DUPLICATION of rd : signal is "NO";
  attribute REGISTER_DUPLICATION of rd_f_s : signal is "NO";
- attribute REGISTER_DUPLICATION of bls : signal is "NO";
+ --attribute REGISTER_DUPLICATION of bls : signal is "NO";
  attribute REGISTER_DUPLICATION of bls_f_s : signal is "NO";
- attribute REGISTER_DUPLICATION of address : signal is "NO";
+ --attribute REGISTER_DUPLICATION of address : signal is "NO";
  attribute REGISTER_DUPLICATION of address_f_s : signal is "NO";
- attribute REGISTER_DUPLICATION of cs0_xc : signal is "NO";
+ --attribute REGISTER_DUPLICATION of cs0_xc : signal is "NO";
  attribute REGISTER_DUPLICATION of cs0_xc_f_s : signal is "NO";

Signed-off-by: Pavel Pisa <pisa@cmp.felk.cvut.cz>
hw/tb/Makefile [new file with mode: 0644]
hw/tb/lx_dad_top_tb.vhd [new file with mode: 0644]
hw/tb/test-lx-dad.gtkw [new file with mode: 0644]