]> rtime.felk.cvut.cz Git - fpga/virtex2/uart.git/commitdiff
Toplevel UART signals renamed.
authorVladimir Burian <buriavl2@fel.cvut.cz>
Sat, 12 Feb 2011 20:54:15 +0000 (21:54 +0100)
committerVladimir Burian <buriavl2@fel.cvut.cz>
Sun, 13 Feb 2011 12:46:28 +0000 (13:46 +0100)
TXD and RXD names in the top-level desing exchanged so it makes more sense.

openMSP430_uart.ucf
openMSP430_uart.vhd

index 480060c38096a64541a8d1b77846b04d266e7e72..e28d6b55dcddfd0bef00ca09c9a9d6a9d12f564e 100644 (file)
@@ -11,8 +11,8 @@ NET "RESET"             LOC = "B6";
 # RS-232 Port                                                                  #
 #==============================================================================#
 
-NET "RXD"               LOC = "A7";     # input to RS232 driver
-NET "TXD"               LOC = "B7";     # output from RS232 driver
+NET "TXD"               LOC = "A7";     # output from the board (from FPGA)
+NET "RXD"               LOC = "B7";     # input to the board (to FPGA)
 
 #==============================================================================#
 # Incremental rotary encoder                                                   #
index 27c4acccb0029cf81c43036a0dcf00cc5755db15..bdd92f6c764508fecc6713f9938c8b9b55959141 100644 (file)
@@ -8,8 +8,8 @@ entity openMSP430_uart is
     CLK_24MHz: in std_logic;
     RESET: in std_logic;
 
-    RXD : out std_logic;
-    TXD : in std_logic;
+    RXD : in std_logic;
+    TXD : out std_logic;
     
     ROT_FEED : out std_logic;
     ROT_A : in std_logic;
@@ -242,8 +242,8 @@ begin
     per_irq     => uart_irq,
     per_dout    => uart_dout,
 
-    rxd         => TXD,
-    txd         => RXD
+    rxd         => RXD,
+    txd         => TXD
   );