]> rtime.felk.cvut.cz Git - fpga/virtex2/uart.git/commitdiff
Make RS-232 receiving work. TimerA IRQ connected to the softcore. Sample application...
authorVladimir Burian <buriavl2@fel.cvut.cz>
Sun, 9 Jan 2011 20:49:45 +0000 (21:49 +0100)
committerVladimir Burian <buriavl2@fel.cvut.cz>
Sun, 9 Jan 2011 21:13:31 +0000 (22:13 +0100)
openMSP430_uart.vhd

index b1d2a571507f7b4fad44160d0afa5ed245617b80..b6e3eceae7e709a5640399e47d2976b297d2245e 100644 (file)
@@ -181,6 +181,9 @@ architecture rtl of openMSP430_uart is
   signal gpio_per_dout : std_logic_vector (15 downto 0);
   signal timerA_per_dout : std_logic_vector (15 downto 0);
 
+  signal irq_ta0 : std_logic;
+  signal irq_ta1 : std_logic;
+
 --------------------------------------------------------------------------------
 
 begin
@@ -192,7 +195,7 @@ begin
     dmem_cen      => dmem_cen,
     dmem_din      => dmem_din,
     dmem_wen      => dmem_wen,
-    irq_acc       => open,
+    irq_acc       => irq_acc,
     mclk          => mclk,
     per_addr      => per_addr,
     per_din       => per_din,
@@ -208,7 +211,7 @@ begin
     dbg_uart_rxd  => '0',
     dco_clk       => CLK_24MHz,
     dmem_dout     => dmem_dout,
-    irq           => (others => '0'),
+    irq           => irq,
     lfxt_clk      => '0',
     nmi           => '0',
     per_dout      => per_dout,
@@ -301,8 +304,8 @@ begin
     );
 
   omsp_timerA_0 : omsp_timerA port map (
-    irq_ta0     => open,
-    irq_ta1     => open,
+    irq_ta0     => irq_ta0,
+    irq_ta1     => irq_ta1,
     per_dout    => timerA_per_dout,
     ta_out0     => open,
     ta_out0_en  => open,
@@ -314,7 +317,7 @@ begin
     aclk_en     => aclk_en,
     dbg_freeze  => '0',
     inclk       => '0',
-    irq_ta0_acc => '0',
+    irq_ta0_acc => irq_acc (9),
     mclk        => mclk,
     per_addr    => per_addr,
     per_din     => per_din,
@@ -323,7 +326,7 @@ begin
     puc         => puc,
     smclk_en    => smclk_en,
     ta_cci0a    => '0',
-    ta_cci0b    => '0',
+    ta_cci0b    => TXD,
     ta_cci1a    => '0',
     ta_cci1b    => '0',
     ta_cci2a    => '0',
@@ -335,5 +338,9 @@ begin
 
   per_dout <=  gpio_per_dout or timerA_per_dout;
   
+  irq <= (9 => irq_ta0,
+          8 => irq_ta1,
+          others => '0');
+  
 end rtl;