]> rtime.felk.cvut.cz Git - fpga/virtex2/uart.git/commitdiff
Make RS-232 transmitting work.
authorVladimir Burian <buriavl2@fel.cvut.cz>
Sun, 9 Jan 2011 20:19:25 +0000 (21:19 +0100)
committerVladimir Burian <buriavl2@fel.cvut.cz>
Sun, 9 Jan 2011 21:13:14 +0000 (22:13 +0100)
openMSP430_uart.ucf
openMSP430_uart.vhd
software/hardware.h

index 7649e6c97e7b114c615cc1a7910a0f358e791a90..ae1106c9a3b3d51798f4d66b1da3ab0f55d6275e 100644 (file)
@@ -2,30 +2,15 @@
 # Clock & Reset                                                                #
 #==============================================================================#
 
-# V munualu jsou piny prohozeny!
-#NET "CLK_100MHz"        LOC = "B11" |     PERIOD =  10.0 ns LOW   5.0 ns;
 NET "CLK_24MHz"         LOC = "A11" |     PERIOD =  41.7 ns LOW  20.9 ns;
 
 NET "RESET"             LOC = "B6";
 
 
 #==============================================================================#
-# 7-Segment Display                                                            #
+# RS-232 Port                                                                  #
 #==============================================================================#
 
-NET "DISPLAY1<0>"       LOC = "D9";
-NET "DISPLAY1<1>"       LOC = "C9";
-NET "DISPLAY1<2>"       LOC = "F11";
-NET "DISPLAY1<3>"       LOC = "F9";
-NET "DISPLAY1<4>"       LOC = "F10";
-NET "DISPLAY1<5>"       LOC = "D10";
-NET "DISPLAY1<6>"       LOC = "C10";
-
-NET "DISPLAY2<0>"       LOC = "B9";
-NET "DISPLAY2<1>"       LOC = "A8";
-NET "DISPLAY2<2>"       LOC = "B8";
-NET "DISPLAY2<3>"       LOC = "E7";
-NET "DISPLAY2<4>"       LOC = "E8";
-NET "DISPLAY2<5>"       LOC = "E10";
-NET "DISPLAY2<6>"       LOC = "E9";
+NET "RXD"               LOC = "A7";     # input to RS232 driver
+NET "TXD"               LOC = "B7";     # output from RS232 driver
 
index 3da87209ca80617a5c27c90dc353180b08051e0a..b1d2a571507f7b4fad44160d0afa5ed245617b80 100644 (file)
@@ -7,8 +7,9 @@ entity openMSP430_uart is
   port (
     CLK_24MHz: in std_logic;
     RESET: in std_logic;
-    DISPLAY1: out std_logic_vector(6 downto 0);
-    DISPLAY2: out std_logic_vector(6 downto 0)
+
+    RXD : out std_logic;
+    TXD : in std_logic
   );
 end openMSP430_uart;
 
@@ -264,12 +265,12 @@ begin
     port map (
       irq_port1 => open,
       irq_port2 => open,
-      p1_dout (6 downto 0) => DISPLAY1,
-      p1_dout (7) => open,
+      p1_dout (7 downto 2) => open,
+      p1_dout (1) => RXD,
+      p1_dout (0) => open,
       p1_dout_en => open,
       p1_sel => open,
-      p2_dout (6 downto 0) => DISPLAY2,
-      p2_dout (7) => open,
+      p2_dout => open,
       p2_dout_en => open,
       p2_sel => open,
       p3_dout => open,
index a586ac350c1bf51d0fdb98e61444fe3c50699f45..7e4d846071282996632610c748dfa6336cddac05 100644 (file)
 //#define BAUD           1042              //19200 @20.0MHz div 1
 //#define BAUD            521              //38400 @20.0MHz div 1
 //#define BAUD            347              //57600 @20.0MHz div 1
-#define BAUD            174              //115200 @20.0MHz div 1
+//#define BAUD            174              //115200 @20.0MHz div 1
 //#define BAUD             87              //230400 @20.0MHz div 1
 
+#define BAUD            208              //115200 @24.0MHz div 1
+
 //Selection of 'Digitally Controlled Oszillator' (desired frquency in HZ, 1..3 MHz)
 #define DCO_FREQ        1536000         //3072000/2 makes 9600 a bit more precise