]> rtime.felk.cvut.cz Git - fpga/uart.git/history - uart.vhd
Clear of FIFO overflow flag capability added.
[fpga/uart.git] / uart.vhd
2011-01-22 Vladimir BurianClear of FIFO overflow flag capability added.
2011-01-22 Vladimir BurianFirst working prototype of HW UART - TX part.