2 use ieee.std_logic_1164.ALL;
3 use ieee.std_logic_arith.ALL;
5 --------------------------------------------------------------------------------
7 entity capture_reg16 is
9 -- Peripheral bus interface
10 ACK_O : out std_logic;
11 ADR_I : in std_logic_vector (0 downto 0);
13 DAT_O : out std_logic_vector (15 downto 0);
16 -- QCounter component interface
17 EVENT_I : in std_logic;
18 CAPTURE_I : in std_logic_vector (31 downto 0));
21 --------------------------------------------------------------------------------
23 architecture behavioral of capture_reg16 is
25 signal CR_DAT_O : std_logic_vector (31 downto 0);
27 --------------------------------------------------------------------------------
33 CR_DAT_O (15 downto 0) when "0",
34 CR_DAT_O (31 downto 16) when "1",
35 (others => 'X') when others;
38 capture_reg0 : entity work.capture_reg
48 CAPTURE_I => CAPTURE_I);