]> rtime.felk.cvut.cz Git - fpga/virtex2/msp_motion.git/commit
Added index capture register hardware
authorBast <bast@bast-desktop.(none)>
Wed, 3 Aug 2011 16:12:14 +0000 (18:12 +0200)
committerBast <bast@bast-desktop.(none)>
Wed, 3 Aug 2011 16:12:14 +0000 (18:12 +0200)
commit98ced3a20155c627d0c0f911fefa4536b5bbaea2
tree0422b154e0aff4f16a60b80e86ab014baf23693f
parentb579a7181cd10cc36d4116fffb36075455758fc5
Added index capture register hardware
mcu_periph/capture_reg.vhd [new file with mode: 0644]
mcu_periph/capture_reg16.vhd [new file with mode: 0644]
mcu_periph/event_rwc.vhd [new file with mode: 0644]
msp_motion.prj
msp_motion.vhd