]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/commitdiff
Change IRC recognition logic synchronous with main design clock.
authorPavel Pisa <ppisa@pikron.com>
Fri, 1 May 2015 15:48:28 +0000 (17:48 +0200)
committerPavel Pisa <ppisa@pikron.com>
Fri, 1 May 2015 15:48:28 +0000 (17:48 +0200)
Use of external, not synchronized signal event as
the trigger condition results in creation of additional
clock domain which can result in all kinds of hazard
conditions when used to manipulate with else synchronous
design state.

Signed-off-by: Pavel Pisa <ppisa@pikron.com>

No differences found