]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-rocon.git/blob - .gitignore
Add registers to LX Master MOSI and SYNC signals to ensure right timing.
[fpga/lx-cpu1/lx-rocon.git] / .gitignore
1 _build