From cafd459aa87770bce44d15a1951bf48e9a18c05b Mon Sep 17 00:00:00 2001 From: Pavel Pisa Date: Sun, 15 Feb 2015 03:50:08 +0100 Subject: [PATCH] Disable use of unisim library to allow simulation by GHDL. Signed-off-by: Pavel Pisa --- hw/lx_dad_top.vhd | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/hw/lx_dad_top.vhd b/hw/lx_dad_top.vhd index 0905804..36a3eb3 100644 --- a/hw/lx_dad_top.vhd +++ b/hw/lx_dad_top.vhd @@ -4,8 +4,9 @@ use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; -library unisim; -use unisim.vcomponents.all; +-- Disable next libraries for simulation in GHDL +--library unisim; +--use unisim.vcomponents.all; use work.lx_dad_pkg.all; -- 2.39.2