3 use ieee.std_logic_1164.all;
4 use ieee.std_logic_arith.all;
5 use ieee.std_logic_unsigned.all;
6 use ieee.numeric_std.all;
7 use work.lx_dad_pkg.all;
9 -- Connects example memory
19 reset_i : in std_logic;
20 -- Master CPU peripheral bus
21 bls_i : in std_logic_vector(3 downto 0);
22 address_i : in std_logic_vector(11 downto 0);
23 data_i : in std_logic_vector(31 downto 0);
24 data_o : out std_logic_vector(31 downto 0)
28 -- Add there external component signals
32 architecture Behavioral of bus_example is
36 data_o <= (others => '0');