]> rtime.felk.cvut.cz Git - fpga/uart.git/history - fifo.vhd
Some comments added.
[fpga/uart.git] / fifo.vhd
2011-01-28 Vladimir BurianSome comments added.
2011-01-22 Vladimir BurianClear of FIFO overflow flag capability added.
2011-01-22 Vladimir BurianFirst working prototype of HW UART - TX part.