]> rtime.felk.cvut.cz Git - fpga/uart.git/history - tx_control.vhd
Clear of FIFO overflow flag capability added.
[fpga/uart.git] / tx_control.vhd
2011-01-22 Vladimir BurianFirst working prototype of HW UART - TX part.