2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
8 SCALE_WIDTH : integer := 16
13 scale : in std_logic_vector (SCALE_WIDTH-1 downto 0);
14 clk_baud : out std_logic
18 --------------------------------------------------------------------------------
20 architecture behavioral of baud_gen is
22 signal counter : std_logic_vector (SCALE_WIDTH-1 downto 0);
23 signal clk_baud_s : std_logic;
25 --------------------------------------------------------------------------------
32 counter <= (others => '0');
35 elsif (rising_edge(clk)) then
38 clk_baud_s <= not clk_baud_s;
41 counter <= counter - 1;
47 --------------------------------------------------------------------------------
49 clk_baud <= clk_baud_s;