]> rtime.felk.cvut.cz Git - fpga/zynq/canbench-sw.git/history - system/script/build.tcl
system: updated scripts
[fpga/zynq/canbench-sw.git] / system / script / build.tcl
2016-05-12 Martin Jerabeksystem: updated scripts
2016-05-12 Martin Jerabekbitstream file renamed
2016-04-01 Martin Jerabeksystem: build fix, removed generated HDL wrappers
2016-03-30 Martin Jerabekadded system and petalinux configuration, scripts,...