]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blob - pmsm-control/adc_reader.vhdl
Added forgotten files.
[fpga/rpi-motor-control.git] / pmsm-control / adc_reader.vhdl
1 --
2 -- * Raspberry Pi BLDC/PMSM motor control design for RPi-MI-1 board *
3 -- SPI connected multichannel current ADC read and averaging
4 --
5 -- (c) 2015 Martin Prudek <prudemar@fel.cvut.cz>
6 -- Czech Technical University in Prague
7 --
8 -- Project supervision and original project idea
9 -- idea by Pavel Pisa <pisa@cmp.felk.cvut.cz>
10 --
11 -- Related RPi-MI-1 hardware is designed by Petr Porazil,
12 -- PiKRON Ltd  <http://www.pikron.com>
13 --
14 -- license: GNU LGPL and GPLv3+
15 --
16
17 library ieee;
18 use ieee.std_logic_1164.all;
19 use ieee.numeric_std.all;
20 use work.util.all;
21
22 entity adc_reader is
23 port (
24         clk: in std_logic;                                      --synchronous master clk
25         divided_clk : in std_logic;                             --divided clk - value suitable to sourcing voltage
26         adc_reset: in std_logic;                                --synchronous reset on rising edge
27         
28         adc_miso: in std_logic;                                 --spi master in slave out
29         adc_sclk: out std_logic;                                --spi clk
30         adc_scs: out std_logic;                                 --spi slave select
31         adc_mosi: out std_logic;                                --spi master out slave in
32         
33         adc_channels: out std_logic_vector (71 downto 0);       --consistent data of 3 channels
34         measur_count: out std_logic_vector(8 downto 0)          --number of accumulated measurments
35         
36 );
37 end adc_reader;
38
39
40 architecture behavioral of adc_reader is
41         
42         
43         type state_type is (f1,f2,f3,f4,f5,f6,f7,f8,f9,f10,f11,f12,f13,f14,r1,r2,r3,r4,r5,r6,r7,r8,r9,r10,r11,r12,r13,r14,f15,r15,reset,rst_wait);
44         signal state : state_type;
45         
46         type channel_type is (ch0, ch1, ch2);
47         
48         signal adc_data: std_logic_vector(11 downto 0); 
49         signal adc_rst_prev : std_logic;
50         signal adc_address: std_logic_vector(2 downto 0);
51         signal cumul_data: std_logic_vector(71 downto 0);       --unconsistent data, containing different amounts of measurments
52         signal prepared_data: std_logic_vector(71 downto 0);    --consistent data, waiting for clk sync to propagate to output
53         signal m_count_sig: std_logic_vector(8 downto 0);       --measurments count waiting for clk to propagate to output
54         signal first_pass: std_logic;
55 begin
56         
57         
58         process 
59                 variable channel: channel_type;
60                 variable reset_re: std_logic:='0';
61                 variable reset_count: std_logic_vector (3 downto 0);
62         begin
63                 wait until (clk'event and clk='1');
64                 
65                 --rising edge detection of reset signal
66                 adc_rst_prev<=adc_reset;
67                 if (adc_rst_prev='0') and (adc_reset='1') then
68                         reset_re:='1';
69                 end if;
70                 
71                 if (divided_clk='1') then --instead of divide, single puls is now detected
72                 
73                 case state is
74                         when reset=>
75                                 reset_re:='0';                  --clear reset flag
76                                 adc_scs<='1';                   --active-low SS
77                                 adc_sclk<='0';                  --lower clock
78                                 first_pass<='1';                --mark data as unprepared
79                                 channel:=ch0;                   --prepare channel0
80                                 adc_data<=(others=>'0');        --null working data
81                                 cumul_data<=(others=>'0');      --null working data
82                                 prepared_data<=(others=>'0');   --null the output
83                                 adc_channels<=(others=>'0');    --null the output
84                                 measur_count<=(others=>'0');    --null the count
85                                 m_count_sig<=(others=>'0');     --null the count
86                                 adc_address<="001";             --set its address
87                                 reset_count:="0000";
88                                 state<=rst_wait;
89                         when rst_wait=>
90                                 if (reset_count/="1111") then
91                                         reset_count:=std_logic_vector(unsigned(reset_count)+1);
92                                         --give the adc some time to prepare before transfer
93                                         adc_scs<=not reset_count(3); 
94                                 else
95                                         state<=f1;
96                                 end if;
97                         when f1=> --1st 'fallin edge' - its not falling edge in any case-if rst clock is low before  
98                                 adc_sclk<='0'; --clk
99                                 adc_mosi<='1'; --start bit
100                                 state<=r1; --next state
101                         when r1=>       --1st rising edge (adc gets the start bit, we get date..)
102                                 adc_sclk<='1'; 
103                                 adc_data(5)<=adc_miso;
104                                 state<=f2;
105                         when f2=> --2nd falling edge
106                                 adc_sclk<='0';
107                                 adc_mosi<=adc_address(2); --A2 address
108                                 state<=r2;
109                         when r2=> --2nd rising edge (adc gets A2 address)
110                                 adc_sclk<='1';
111                                 adc_data(4)<=adc_miso;
112                                 state<=f3;
113                         when f3=> --3rd falling edge 
114                                 adc_sclk<='0';
115                                 adc_mosi<=adc_address(1); --A1 address
116                                 state<=r3;
117                         when r3=> --rising edge
118                                 adc_sclk<='1';
119                                 adc_data(3)<=adc_miso;
120                                 state<=f4;      
121                         when f4=> --4th falling edge
122                                 adc_sclk<='0';
123                                 adc_mosi<=adc_address(0); --A0 address 
124                                 state<=r4;
125                         when r4=> --rising edge
126                                 adc_sclk<='1';
127                                 adc_data(2)<=adc_miso;
128                                 state<=f5;      
129                         when f5=> --5th falling edge
130                                 adc_sclk<='0';
131                                 adc_mosi<='0'; --MODE (LOW -12bit)
132                                 state<=r5;
133                         when r5=> --rising edge
134                                 adc_sclk<='1';
135                                 adc_data(1)<=adc_miso;
136                                 state<=f6;      
137                         when f6=> --6th falling edge
138                                 adc_sclk<='0';
139                                 adc_mosi<='1'; --SGL/DIF (HIGH - SGL=Single Ended)
140                                 state<=r6;
141                         when r6=> --6th rising edge (we read last bit of conversion, adc gets SGL/DIF)
142                                 adc_sclk<='1';
143                                 adc_data(0)<=adc_miso;
144                                 state<=f7;              
145                         when f7=> -- 7th falling edge
146                                 adc_sclk<='0';
147                                 adc_mosi<='0'; --PD1 (power down - PD1=PD0=0 -> power down between conversion)
148                                 state<=r7;
149                         when r7=> --7th rising edge, data ready
150                                 adc_sclk<='1';
151                                 if (first_pass='0') then
152                                         --add the current current to sum and shift the register
153                                         cumul_data(71 downto 0)<=
154                                                 std_logic_vector(unsigned(cumul_data(47 downto 24))
155                                                         +unsigned(adc_data(11 downto 0)))
156                                                 & cumul_data(23 downto 0)
157                                                 & cumul_data(71 downto 48);
158                                 end if;
159                                 state<=f8;
160                         when f8=> --8th falling edge
161                                 adc_sclk<='0';
162                                 adc_mosi<='0'; --PD0
163                                 if (first_pass='0') then
164                                         case channel is
165                                                 when ch0=>
166                                                         adc_address<="101";     --ch1 address
167                                                         channel:=ch1;           --next channel code
168                                                 when ch1=>
169                                                         adc_address<="010";     --ch2 address
170                                                         channel:=ch2;           --next channel code
171                                                 when ch2=>
172                                                         --data order schould be: ch2 downto ch0 downto ch1
173                                                         prepared_data(71 downto 0)<=cumul_data(71 downto 0);
174                                                         m_count_sig<=std_logic_vector(unsigned(m_count_sig)+1);
175                                                         adc_address<="001";     --ch0 address
176                                                         channel:=ch0;           --next channel code
177                                         end case;
178                                 end if;
179                                 state<=r8;
180                         when r8=> --8th rising edge (adc gets PD0), we propagate our results to output
181                                 adc_sclk<='1';
182                                 adc_channels <= prepared_data;          --data
183                                 measur_count <= m_count_sig;            --count of measurments
184                                 first_pass<='0';                        --data in next cycle are usable
185                                 state<=f9;
186                         when f9=> --9th falling edge busy state between conversion (we write nothing)
187                                 adc_sclk<='0';
188                                 state<=r9;
189                         when r9=>  --9th rising edge (we nor ads get nothing)
190                                 adc_sclk<='1';
191                                 state<=f10;
192                         when f10=> --10th falling edge
193                                 adc_sclk<='0';
194                                 state<=r10;
195                         when r10=>  --10th rising edge (we read 1. bit of new conversion)
196                                 adc_sclk<='1';
197                                 adc_data(11)<=adc_miso;
198                                 state<=f11;
199                         when f11=>
200                                 adc_sclk<='0';
201                                 state<=r11;
202                         when r11=>  --11th rising edge
203                                 adc_sclk<='1';
204                                 adc_data(10)<=adc_miso;
205                                 state<=f12;
206                         when f12=>
207                                 adc_sclk<='0';
208                                 state<=r12;
209                         when r12=>  --12th rising edge
210                                 adc_sclk<='1';
211                                 adc_data(9)<=adc_miso;
212                                 state<=f13;
213                         when f13=>
214                                 adc_sclk<='0';
215                                 state<=r13;
216                         when r13=>  --13th rising edge
217                                 adc_sclk<='1';
218                                 adc_data(8)<=adc_miso;
219                                 state<=f14;
220                         when f14=>
221                                 adc_sclk<='0';
222                                 state<=r14;
223                         when r14=>  --14th rising edge
224                                 adc_sclk<='1';
225                                 adc_data(7)<=adc_miso;
226                                 state<=f15;
227                         when f15=>
228                                 adc_sclk<='0';
229                                 state<=r15;
230                         when r15=> --15th rising edge
231                                 adc_sclk<='1';
232                                 adc_data(6)<=adc_miso;
233                                 if (reset_re='1') then --we check rising edge of reset 
234                                         state<=reset;
235                                 else
236                                         state<=f1;
237                                 end if;
238                 end case;
239                 
240                 end if;
241                 
242         end process;
243                         
244         
245                 
246 end behavioral;
247