]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blob - pmsm-control/div256.vhdl
Added UNTESTED version of spi-commands-lost detection.
[fpga/rpi-motor-control.git] / pmsm-control / div256.vhdl
1 -- provides frequency division by 256 (8 bit divider)
2
3 library ieee;
4 use ieee.std_logic_1164.all;
5 use ieee.numeric_std.all;
6 use work.util.all;
7
8 entity div256 is
9
10 port (
11         clk_in: in std_logic;
12         div256: out std_logic
13 );
14 end div256;
15
16
17 architecture behavioral of div256 is
18         signal count : std_logic_vector (8 downto 0);
19 begin
20         
21         div256 <= count(8);
22         
23         seq : process 
24         begin
25                 wait until (clk_in'event and clk_in='1');
26                 count <= std_logic_vector(unsigned(count) + 1);
27         end process;
28
29         
30                 
31 end behavioral;
32