]> rtime.felk.cvut.cz Git - fpga/pwm.git/commitdiff
IRF_ADR_W parameter of MCC entity removed
authorVladimir Burian <buriavl2@fel.cvut.cz>
Thu, 14 Apr 2011 21:41:48 +0000 (23:41 +0200)
committerVladimir Burian <buriavl2@fel.cvut.cz>
Thu, 14 Apr 2011 21:45:27 +0000 (23:45 +0200)
It is defined as a constant in mcc.vhd.

mcc.vhd
tb/tb_mcc.vhd

diff --git a/mcc.vhd b/mcc.vhd
index 5513069a9c38935fad0e25290660065a00950097..156a84041bc65d2ba2185776ee5d0f146b231b4a 100644 (file)
--- a/mcc.vhd
+++ b/mcc.vhd
@@ -8,8 +8,7 @@ use ieee.std_logic_unsigned.all;
 entity mcc is
   generic (
     LUT_ADR_W : integer := 10;
-    LUT_DAT_W : integer := 9;
-    IRF_ADR_W : integer := 5);
+    LUT_DAT_W : integer := 9);
   port (
     -- Primary slave intefrace
     ACK_O      : out std_logic;
@@ -40,6 +39,8 @@ end entity mcc;
 
 architecture behavioral of mcc is
 
+  constant IRF_ADR_W : integer := 5;
+
   constant MCC_W : integer := 6;
   constant MUX_W : integer := 3;
 
index d08d85fab2a5ffd2c19b4df51cb9b9161c77d367..c74ee61468856d423626213014fa036dd941f1fe 100644 (file)
@@ -16,7 +16,6 @@ architecture testbench of tb_mcc is
   constant LUT_DAT_W     : integer := 10;
   constant LUT_ADR_W     : integer := 9;
   constant LUT_INIT_FILE : string  := "../sin.lut";
-  constant IRF_ADR_W     : integer := 5;
 
   constant WAVE_SIZE : integer := 2**LUT_ADR_W;
   
@@ -27,7 +26,7 @@ architecture testbench of tb_mcc is
   signal STB_I : std_logic;
 
   signal IRF_ACK_I : std_logic;
-  signal IRF_ADR_O : std_logic_vector (IRF_ADR_W-1 downto 0);
+  signal IRF_ADR_O : std_logic_vector (4 downto 0);
   signal IRF_CYC_O : std_logic;
   signal IRF_DAT_I : std_logic_vector (15 downto 0);
   signal IRF_DAT_O : std_logic_vector (15 downto 0);
@@ -60,8 +59,7 @@ begin
   uut : entity work.mcc
     generic map (
       LUT_ADR_W => LUT_ADR_W,
-      LUT_DAT_W => LUT_DAT_W,
-      IRF_ADR_W => IRF_ADR_W)
+      LUT_DAT_W => LUT_DAT_W)
     port map (
       ACK_O      => ACK_O,
       CLK_I      => CLK_I,