From e5b5df3bc6a94b429ac063ae078d1b5c4066d2ca Mon Sep 17 00:00:00 2001 From: Vladimir Burian Date: Thu, 14 Apr 2011 23:41:48 +0200 Subject: [PATCH] IRF_ADR_W parameter of MCC entity removed It is defined as a constant in mcc.vhd. --- mcc.vhd | 5 +++-- tb/tb_mcc.vhd | 6 ++---- 2 files changed, 5 insertions(+), 6 deletions(-) diff --git a/mcc.vhd b/mcc.vhd index 5513069..156a840 100644 --- a/mcc.vhd +++ b/mcc.vhd @@ -8,8 +8,7 @@ use ieee.std_logic_unsigned.all; entity mcc is generic ( LUT_ADR_W : integer := 10; - LUT_DAT_W : integer := 9; - IRF_ADR_W : integer := 5); + LUT_DAT_W : integer := 9); port ( -- Primary slave intefrace ACK_O : out std_logic; @@ -40,6 +39,8 @@ end entity mcc; architecture behavioral of mcc is + constant IRF_ADR_W : integer := 5; + constant MCC_W : integer := 6; constant MUX_W : integer := 3; diff --git a/tb/tb_mcc.vhd b/tb/tb_mcc.vhd index d08d85f..c74ee61 100644 --- a/tb/tb_mcc.vhd +++ b/tb/tb_mcc.vhd @@ -16,7 +16,6 @@ architecture testbench of tb_mcc is constant LUT_DAT_W : integer := 10; constant LUT_ADR_W : integer := 9; constant LUT_INIT_FILE : string := "../sin.lut"; - constant IRF_ADR_W : integer := 5; constant WAVE_SIZE : integer := 2**LUT_ADR_W; @@ -27,7 +26,7 @@ architecture testbench of tb_mcc is signal STB_I : std_logic; signal IRF_ACK_I : std_logic; - signal IRF_ADR_O : std_logic_vector (IRF_ADR_W-1 downto 0); + signal IRF_ADR_O : std_logic_vector (4 downto 0); signal IRF_CYC_O : std_logic; signal IRF_DAT_I : std_logic_vector (15 downto 0); signal IRF_DAT_O : std_logic_vector (15 downto 0); @@ -60,8 +59,7 @@ begin uut : entity work.mcc generic map ( LUT_ADR_W => LUT_ADR_W, - LUT_DAT_W => LUT_DAT_W, - IRF_ADR_W => IRF_ADR_W) + LUT_DAT_W => LUT_DAT_W) port map ( ACK_O => ACK_O, CLK_I => CLK_I, -- 2.39.2