]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-rocon.git/tree - firmware/
Add registers to LX Master MOSI and SYNC signals to ensure right timing.
[fpga/lx-cpu1/lx-rocon.git] / firmware /
drwxr-xr-x   ..
-rw-r--r-- 64 lxmaster.bin