3 use ieee.std_logic_1164.all;
4 use ieee.std_logic_arith.all;
5 use ieee.std_logic_unsigned.all;
6 use ieee.numeric_std.all;
8 use work.lx_rocon_pkg.all;
10 -- 2 kB instruction memory for Thumbl core
11 -- To be flashed from the Master CPU
13 entity lx_rocon_imem is
16 -- Memory wiring for Tumbl
19 adr_i : in std_logic_vector(10 downto 2);
20 dat_o : out std_logic_vector(31 downto 0);
22 -- Memory wiring for Master CPU
25 we_m : in std_logic_vector(3 downto 0);
26 addr_m : in std_logic_vector(8 downto 0);
27 din_m : in std_logic_vector(31 downto 0);
28 dout_m : out std_logic_vector(31 downto 0)
33 architecture rtl of lx_rocon_imem is
36 I_RAMB: xilinx_dualport_bram
50 addra => adr_i(10 downto 2),