]> rtime.felk.cvut.cz Git - fpga/virtex2/uart.git/blobdiff - openMSP430_uart.ucf
Make RS-232 transmitting work.
[fpga/virtex2/uart.git] / openMSP430_uart.ucf
index 7649e6c97e7b114c615cc1a7910a0f358e791a90..ae1106c9a3b3d51798f4d66b1da3ab0f55d6275e 100644 (file)
@@ -2,30 +2,15 @@
 # Clock & Reset                                                                #
 #==============================================================================#
 
-# V munualu jsou piny prohozeny!
-#NET "CLK_100MHz"        LOC = "B11" |     PERIOD =  10.0 ns LOW   5.0 ns;
 NET "CLK_24MHz"         LOC = "A11" |     PERIOD =  41.7 ns LOW  20.9 ns;
 
 NET "RESET"             LOC = "B6";
 
 
 #==============================================================================#
-# 7-Segment Display                                                            #
+# RS-232 Port                                                                  #
 #==============================================================================#
 
-NET "DISPLAY1<0>"       LOC = "D9";
-NET "DISPLAY1<1>"       LOC = "C9";
-NET "DISPLAY1<2>"       LOC = "F11";
-NET "DISPLAY1<3>"       LOC = "F9";
-NET "DISPLAY1<4>"       LOC = "F10";
-NET "DISPLAY1<5>"       LOC = "D10";
-NET "DISPLAY1<6>"       LOC = "C10";
-
-NET "DISPLAY2<0>"       LOC = "B9";
-NET "DISPLAY2<1>"       LOC = "A8";
-NET "DISPLAY2<2>"       LOC = "B8";
-NET "DISPLAY2<3>"       LOC = "E7";
-NET "DISPLAY2<4>"       LOC = "E8";
-NET "DISPLAY2<5>"       LOC = "E10";
-NET "DISPLAY2<6>"       LOC = "E9";
+NET "RXD"               LOC = "A7";     # input to RS232 driver
+NET "TXD"               LOC = "B7";     # output from RS232 driver