2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
10 architecture testbench of tb_fifo is
21 d_in : in std_logic_vector (7 downto 0);
22 d_out : out std_logic_vector (7 downto 0);
23 overflow : out std_logic
27 signal clk : std_logic;
28 signal reset : std_logic;
29 signal we : std_logic;
30 signal re : std_logic;
31 signal d_in : std_logic_vector (7 downto 0) := (others => '0');
32 signal d_out : std_logic_vector (7 downto 0);
33 signal length : std_logic_vector (2 downto 0);
34 signal overflow : std_logic;
36 constant period : time := 1 us;
37 constant offset : time := 2 us;
81 wait for 0.1 * period;