2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
6 --------------------------------------------------------------------------------
14 DAT : in std_logic_vector (PWM_W-1 downto 0);
16 WE : in std_logic (2 downto 0);
18 PWM_CNT : in std_logic_vector (PWM_W-1 downto 0)
19 PWM_CYC : in std_logic;
20 PWM : out std_logic_vector (2 downto 0));
23 --------------------------------------------------------------------------------
25 architecture rtl of pwm3 is
28 PWM_GEN : for i in 0 to 2 generate
29 pwm_1 : entity work.pwm