]> rtime.felk.cvut.cz Git - fpga/zynq/canbench-sw.git/blob - system/ip/servo_led_ps2_1.0/component.xml
microzed_apo: Correct JX1_LVDS_21_N pin assignment on FPGA_IO header.
[fpga/zynq/canbench-sw.git] / system / ip / servo_led_ps2_1.0 / component.xml
1 <?xml version="1.0" encoding="UTF-8"?>
2 <spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
3   <spirit:vendor>user.org</spirit:vendor>
4   <spirit:library>user</spirit:library>
5   <spirit:name>servo_led_ps2</spirit:name>
6   <spirit:version>1.0</spirit:version>
7   <spirit:busInterfaces>
8     <spirit:busInterface>
9       <spirit:name>S00_AXI</spirit:name>
10       <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/>
11       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/>
12       <spirit:slave>
13         <spirit:memoryMapRef spirit:memoryMapRef="S00_AXI"/>
14       </spirit:slave>
15       <spirit:portMaps>
16         <spirit:portMap>
17           <spirit:logicalPort>
18             <spirit:name>AWADDR</spirit:name>
19           </spirit:logicalPort>
20           <spirit:physicalPort>
21             <spirit:name>s00_axi_awaddr</spirit:name>
22           </spirit:physicalPort>
23         </spirit:portMap>
24         <spirit:portMap>
25           <spirit:logicalPort>
26             <spirit:name>AWPROT</spirit:name>
27           </spirit:logicalPort>
28           <spirit:physicalPort>
29             <spirit:name>s00_axi_awprot</spirit:name>
30           </spirit:physicalPort>
31         </spirit:portMap>
32         <spirit:portMap>
33           <spirit:logicalPort>
34             <spirit:name>AWVALID</spirit:name>
35           </spirit:logicalPort>
36           <spirit:physicalPort>
37             <spirit:name>s00_axi_awvalid</spirit:name>
38           </spirit:physicalPort>
39         </spirit:portMap>
40         <spirit:portMap>
41           <spirit:logicalPort>
42             <spirit:name>AWREADY</spirit:name>
43           </spirit:logicalPort>
44           <spirit:physicalPort>
45             <spirit:name>s00_axi_awready</spirit:name>
46           </spirit:physicalPort>
47         </spirit:portMap>
48         <spirit:portMap>
49           <spirit:logicalPort>
50             <spirit:name>WDATA</spirit:name>
51           </spirit:logicalPort>
52           <spirit:physicalPort>
53             <spirit:name>s00_axi_wdata</spirit:name>
54           </spirit:physicalPort>
55         </spirit:portMap>
56         <spirit:portMap>
57           <spirit:logicalPort>
58             <spirit:name>WSTRB</spirit:name>
59           </spirit:logicalPort>
60           <spirit:physicalPort>
61             <spirit:name>s00_axi_wstrb</spirit:name>
62           </spirit:physicalPort>
63         </spirit:portMap>
64         <spirit:portMap>
65           <spirit:logicalPort>
66             <spirit:name>WVALID</spirit:name>
67           </spirit:logicalPort>
68           <spirit:physicalPort>
69             <spirit:name>s00_axi_wvalid</spirit:name>
70           </spirit:physicalPort>
71         </spirit:portMap>
72         <spirit:portMap>
73           <spirit:logicalPort>
74             <spirit:name>WREADY</spirit:name>
75           </spirit:logicalPort>
76           <spirit:physicalPort>
77             <spirit:name>s00_axi_wready</spirit:name>
78           </spirit:physicalPort>
79         </spirit:portMap>
80         <spirit:portMap>
81           <spirit:logicalPort>
82             <spirit:name>BRESP</spirit:name>
83           </spirit:logicalPort>
84           <spirit:physicalPort>
85             <spirit:name>s00_axi_bresp</spirit:name>
86           </spirit:physicalPort>
87         </spirit:portMap>
88         <spirit:portMap>
89           <spirit:logicalPort>
90             <spirit:name>BVALID</spirit:name>
91           </spirit:logicalPort>
92           <spirit:physicalPort>
93             <spirit:name>s00_axi_bvalid</spirit:name>
94           </spirit:physicalPort>
95         </spirit:portMap>
96         <spirit:portMap>
97           <spirit:logicalPort>
98             <spirit:name>BREADY</spirit:name>
99           </spirit:logicalPort>
100           <spirit:physicalPort>
101             <spirit:name>s00_axi_bready</spirit:name>
102           </spirit:physicalPort>
103         </spirit:portMap>
104         <spirit:portMap>
105           <spirit:logicalPort>
106             <spirit:name>ARADDR</spirit:name>
107           </spirit:logicalPort>
108           <spirit:physicalPort>
109             <spirit:name>s00_axi_araddr</spirit:name>
110           </spirit:physicalPort>
111         </spirit:portMap>
112         <spirit:portMap>
113           <spirit:logicalPort>
114             <spirit:name>ARPROT</spirit:name>
115           </spirit:logicalPort>
116           <spirit:physicalPort>
117             <spirit:name>s00_axi_arprot</spirit:name>
118           </spirit:physicalPort>
119         </spirit:portMap>
120         <spirit:portMap>
121           <spirit:logicalPort>
122             <spirit:name>ARVALID</spirit:name>
123           </spirit:logicalPort>
124           <spirit:physicalPort>
125             <spirit:name>s00_axi_arvalid</spirit:name>
126           </spirit:physicalPort>
127         </spirit:portMap>
128         <spirit:portMap>
129           <spirit:logicalPort>
130             <spirit:name>ARREADY</spirit:name>
131           </spirit:logicalPort>
132           <spirit:physicalPort>
133             <spirit:name>s00_axi_arready</spirit:name>
134           </spirit:physicalPort>
135         </spirit:portMap>
136         <spirit:portMap>
137           <spirit:logicalPort>
138             <spirit:name>RDATA</spirit:name>
139           </spirit:logicalPort>
140           <spirit:physicalPort>
141             <spirit:name>s00_axi_rdata</spirit:name>
142           </spirit:physicalPort>
143         </spirit:portMap>
144         <spirit:portMap>
145           <spirit:logicalPort>
146             <spirit:name>RRESP</spirit:name>
147           </spirit:logicalPort>
148           <spirit:physicalPort>
149             <spirit:name>s00_axi_rresp</spirit:name>
150           </spirit:physicalPort>
151         </spirit:portMap>
152         <spirit:portMap>
153           <spirit:logicalPort>
154             <spirit:name>RVALID</spirit:name>
155           </spirit:logicalPort>
156           <spirit:physicalPort>
157             <spirit:name>s00_axi_rvalid</spirit:name>
158           </spirit:physicalPort>
159         </spirit:portMap>
160         <spirit:portMap>
161           <spirit:logicalPort>
162             <spirit:name>RREADY</spirit:name>
163           </spirit:logicalPort>
164           <spirit:physicalPort>
165             <spirit:name>s00_axi_rready</spirit:name>
166           </spirit:physicalPort>
167         </spirit:portMap>
168       </spirit:portMaps>
169       <spirit:parameters>
170         <spirit:parameter>
171           <spirit:name>WIZ_DATA_WIDTH</spirit:name>
172           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S00_AXI.WIZ_DATA_WIDTH" spirit:choiceRef="choice_list_6fc15197">32</spirit:value>
173         </spirit:parameter>
174         <spirit:parameter>
175           <spirit:name>WIZ_NUM_REG</spirit:name>
176           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S00_AXI.WIZ_NUM_REG" spirit:minimum="4" spirit:maximum="512" spirit:rangeType="long">8</spirit:value>
177         </spirit:parameter>
178         <spirit:parameter>
179           <spirit:name>SUPPORTS_NARROW_BURST</spirit:name>
180           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST" spirit:choiceRef="choice_pairs_ce1226b1">0</spirit:value>
181         </spirit:parameter>
182       </spirit:parameters>
183     </spirit:busInterface>
184     <spirit:busInterface>
185       <spirit:name>S00_AXI_RST</spirit:name>
186       <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
187       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
188       <spirit:slave/>
189       <spirit:portMaps>
190         <spirit:portMap>
191           <spirit:logicalPort>
192             <spirit:name>RST</spirit:name>
193           </spirit:logicalPort>
194           <spirit:physicalPort>
195             <spirit:name>s00_axi_aresetn</spirit:name>
196           </spirit:physicalPort>
197         </spirit:portMap>
198       </spirit:portMaps>
199       <spirit:parameters>
200         <spirit:parameter>
201           <spirit:name>POLARITY</spirit:name>
202           <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_RST.POLARITY">ACTIVE_LOW</spirit:value>
203         </spirit:parameter>
204       </spirit:parameters>
205     </spirit:busInterface>
206     <spirit:busInterface>
207       <spirit:name>S00_AXI_CLK</spirit:name>
208       <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
209       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
210       <spirit:slave/>
211       <spirit:portMaps>
212         <spirit:portMap>
213           <spirit:logicalPort>
214             <spirit:name>CLK</spirit:name>
215           </spirit:logicalPort>
216           <spirit:physicalPort>
217             <spirit:name>s00_axi_aclk</spirit:name>
218           </spirit:physicalPort>
219         </spirit:portMap>
220       </spirit:portMaps>
221       <spirit:parameters>
222         <spirit:parameter>
223           <spirit:name>ASSOCIATED_BUSIF</spirit:name>
224           <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_CLK.ASSOCIATED_BUSIF">S00_AXI</spirit:value>
225         </spirit:parameter>
226         <spirit:parameter>
227           <spirit:name>ASSOCIATED_RESET</spirit:name>
228           <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_CLK.ASSOCIATED_RESET">s00_axi_aresetn</spirit:value>
229         </spirit:parameter>
230       </spirit:parameters>
231     </spirit:busInterface>
232   </spirit:busInterfaces>
233   <spirit:memoryMaps>
234     <spirit:memoryMap>
235       <spirit:name>S00_AXI</spirit:name>
236       <spirit:addressBlock>
237         <spirit:name>S00_AXI_reg</spirit:name>
238         <spirit:baseAddress spirit:format="long" spirit:resolve="user">0</spirit:baseAddress>
239         <spirit:range spirit:format="long">4096</spirit:range>
240         <spirit:width spirit:format="long">32</spirit:width>
241         <spirit:usage>register</spirit:usage>
242         <spirit:parameters>
243           <spirit:parameter>
244             <spirit:name>OFFSET_BASE_PARAM</spirit:name>
245             <spirit:value spirit:id="ADDRBLOCKPARAM_VALUE.S00_AXI.S00_AXI_REG.OFFSET_BASE_PARAM">C_S00_AXI_BASEADDR</spirit:value>
246           </spirit:parameter>
247           <spirit:parameter>
248             <spirit:name>OFFSET_HIGH_PARAM</spirit:name>
249             <spirit:value spirit:id="ADDRBLOCKPARAM_VALUE.S00_AXI.S00_AXI_REG.OFFSET_HIGH_PARAM">C_S00_AXI_HIGHADDR</spirit:value>
250           </spirit:parameter>
251         </spirit:parameters>
252       </spirit:addressBlock>
253     </spirit:memoryMap>
254   </spirit:memoryMaps>
255   <spirit:model>
256     <spirit:views>
257       <spirit:view>
258         <spirit:name>xilinx_vhdlsynthesis</spirit:name>
259         <spirit:displayName>VHDL Synthesis</spirit:displayName>
260         <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:synthesis</spirit:envIdentifier>
261         <spirit:language>vhdl</spirit:language>
262         <spirit:modelName>servo_led_ps2_v1_0</spirit:modelName>
263         <spirit:fileSetRef>
264           <spirit:localName>xilinx_vhdlsynthesis_view_fileset</spirit:localName>
265         </spirit:fileSetRef>
266         <spirit:parameters>
267           <spirit:parameter>
268             <spirit:name>viewChecksum</spirit:name>
269             <spirit:value>c00197f5</spirit:value>
270           </spirit:parameter>
271         </spirit:parameters>
272       </spirit:view>
273       <spirit:view>
274         <spirit:name>xilinx_vhdlbehavioralsimulation</spirit:name>
275         <spirit:displayName>VHDL Simulation</spirit:displayName>
276         <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:simulation</spirit:envIdentifier>
277         <spirit:language>vhdl</spirit:language>
278         <spirit:modelName>servo_led_ps2_v1_0</spirit:modelName>
279         <spirit:fileSetRef>
280           <spirit:localName>xilinx_vhdlbehavioralsimulation_view_fileset</spirit:localName>
281         </spirit:fileSetRef>
282         <spirit:parameters>
283           <spirit:parameter>
284             <spirit:name>viewChecksum</spirit:name>
285             <spirit:value>c00197f5</spirit:value>
286           </spirit:parameter>
287         </spirit:parameters>
288       </spirit:view>
289       <spirit:view>
290         <spirit:name>xilinx_softwaredriver</spirit:name>
291         <spirit:displayName>Software Driver</spirit:displayName>
292         <spirit:envIdentifier>:vivado.xilinx.com:sw.driver</spirit:envIdentifier>
293         <spirit:fileSetRef>
294           <spirit:localName>xilinx_softwaredriver_view_fileset</spirit:localName>
295         </spirit:fileSetRef>
296         <spirit:parameters>
297           <spirit:parameter>
298             <spirit:name>viewChecksum</spirit:name>
299             <spirit:value>244fe9e0</spirit:value>
300           </spirit:parameter>
301         </spirit:parameters>
302       </spirit:view>
303       <spirit:view>
304         <spirit:name>xilinx_xpgui</spirit:name>
305         <spirit:displayName>UI Layout</spirit:displayName>
306         <spirit:envIdentifier>:vivado.xilinx.com:xgui.ui</spirit:envIdentifier>
307         <spirit:fileSetRef>
308           <spirit:localName>xilinx_xpgui_view_fileset</spirit:localName>
309         </spirit:fileSetRef>
310         <spirit:parameters>
311           <spirit:parameter>
312             <spirit:name>viewChecksum</spirit:name>
313             <spirit:value>fd592ead</spirit:value>
314           </spirit:parameter>
315         </spirit:parameters>
316       </spirit:view>
317       <spirit:view>
318         <spirit:name>bd_tcl</spirit:name>
319         <spirit:displayName>Block Diagram</spirit:displayName>
320         <spirit:envIdentifier>:vivado.xilinx.com:block.diagram</spirit:envIdentifier>
321         <spirit:fileSetRef>
322           <spirit:localName>bd_tcl_view_fileset</spirit:localName>
323         </spirit:fileSetRef>
324         <spirit:parameters>
325           <spirit:parameter>
326             <spirit:name>viewChecksum</spirit:name>
327             <spirit:value>45a2f450</spirit:value>
328           </spirit:parameter>
329         </spirit:parameters>
330       </spirit:view>
331     </spirit:views>
332     <spirit:ports>
333       <spirit:port>
334         <spirit:name>SERVO1</spirit:name>
335         <spirit:wire>
336           <spirit:direction>out</spirit:direction>
337           <spirit:wireTypeDefs>
338             <spirit:wireTypeDef>
339               <spirit:typeName>std_logic</spirit:typeName>
340               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
341               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
342             </spirit:wireTypeDef>
343           </spirit:wireTypeDefs>
344         </spirit:wire>
345       </spirit:port>
346       <spirit:port>
347         <spirit:name>SERVO2</spirit:name>
348         <spirit:wire>
349           <spirit:direction>out</spirit:direction>
350           <spirit:wireTypeDefs>
351             <spirit:wireTypeDef>
352               <spirit:typeName>std_logic</spirit:typeName>
353               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
354               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
355             </spirit:wireTypeDef>
356           </spirit:wireTypeDefs>
357         </spirit:wire>
358       </spirit:port>
359       <spirit:port>
360         <spirit:name>SERVO3</spirit:name>
361         <spirit:wire>
362           <spirit:direction>out</spirit:direction>
363           <spirit:wireTypeDefs>
364             <spirit:wireTypeDef>
365               <spirit:typeName>std_logic</spirit:typeName>
366               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
367               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
368             </spirit:wireTypeDef>
369           </spirit:wireTypeDefs>
370         </spirit:wire>
371       </spirit:port>
372       <spirit:port>
373         <spirit:name>SERVO4</spirit:name>
374         <spirit:wire>
375           <spirit:direction>inout</spirit:direction>
376           <spirit:wireTypeDefs>
377             <spirit:wireTypeDef>
378               <spirit:typeName>std_logic</spirit:typeName>
379               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
380               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
381             </spirit:wireTypeDef>
382           </spirit:wireTypeDefs>
383         </spirit:wire>
384       </spirit:port>
385       <spirit:port>
386         <spirit:name>s00_axi_awaddr</spirit:name>
387         <spirit:wire>
388           <spirit:direction>in</spirit:direction>
389           <spirit:vector>
390             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH&apos;)) - 1)">4</spirit:left>
391             <spirit:right spirit:format="long">0</spirit:right>
392           </spirit:vector>
393           <spirit:wireTypeDefs>
394             <spirit:wireTypeDef>
395               <spirit:typeName>std_logic_vector</spirit:typeName>
396               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
397               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
398             </spirit:wireTypeDef>
399           </spirit:wireTypeDefs>
400         </spirit:wire>
401       </spirit:port>
402       <spirit:port>
403         <spirit:name>s00_axi_awprot</spirit:name>
404         <spirit:wire>
405           <spirit:direction>in</spirit:direction>
406           <spirit:vector>
407             <spirit:left spirit:format="long">2</spirit:left>
408             <spirit:right spirit:format="long">0</spirit:right>
409           </spirit:vector>
410           <spirit:wireTypeDefs>
411             <spirit:wireTypeDef>
412               <spirit:typeName>std_logic_vector</spirit:typeName>
413               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
414               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
415             </spirit:wireTypeDef>
416           </spirit:wireTypeDefs>
417         </spirit:wire>
418       </spirit:port>
419       <spirit:port>
420         <spirit:name>s00_axi_awvalid</spirit:name>
421         <spirit:wire>
422           <spirit:direction>in</spirit:direction>
423           <spirit:wireTypeDefs>
424             <spirit:wireTypeDef>
425               <spirit:typeName>std_logic</spirit:typeName>
426               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
427               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
428             </spirit:wireTypeDef>
429           </spirit:wireTypeDefs>
430         </spirit:wire>
431       </spirit:port>
432       <spirit:port>
433         <spirit:name>s00_axi_awready</spirit:name>
434         <spirit:wire>
435           <spirit:direction>out</spirit:direction>
436           <spirit:wireTypeDefs>
437             <spirit:wireTypeDef>
438               <spirit:typeName>std_logic</spirit:typeName>
439               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
440               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
441             </spirit:wireTypeDef>
442           </spirit:wireTypeDefs>
443         </spirit:wire>
444       </spirit:port>
445       <spirit:port>
446         <spirit:name>s00_axi_wdata</spirit:name>
447         <spirit:wire>
448           <spirit:direction>in</spirit:direction>
449           <spirit:vector>
450             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH&apos;)) - 1)">31</spirit:left>
451             <spirit:right spirit:format="long">0</spirit:right>
452           </spirit:vector>
453           <spirit:wireTypeDefs>
454             <spirit:wireTypeDef>
455               <spirit:typeName>std_logic_vector</spirit:typeName>
456               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
457               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
458             </spirit:wireTypeDef>
459           </spirit:wireTypeDefs>
460         </spirit:wire>
461       </spirit:port>
462       <spirit:port>
463         <spirit:name>s00_axi_wstrb</spirit:name>
464         <spirit:wire>
465           <spirit:direction>in</spirit:direction>
466           <spirit:vector>
467             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH&apos;)) / 8) - 1)">3</spirit:left>
468             <spirit:right spirit:format="long">0</spirit:right>
469           </spirit:vector>
470           <spirit:wireTypeDefs>
471             <spirit:wireTypeDef>
472               <spirit:typeName>std_logic_vector</spirit:typeName>
473               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
474               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
475             </spirit:wireTypeDef>
476           </spirit:wireTypeDefs>
477         </spirit:wire>
478       </spirit:port>
479       <spirit:port>
480         <spirit:name>s00_axi_wvalid</spirit:name>
481         <spirit:wire>
482           <spirit:direction>in</spirit:direction>
483           <spirit:wireTypeDefs>
484             <spirit:wireTypeDef>
485               <spirit:typeName>std_logic</spirit:typeName>
486               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
487               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
488             </spirit:wireTypeDef>
489           </spirit:wireTypeDefs>
490         </spirit:wire>
491       </spirit:port>
492       <spirit:port>
493         <spirit:name>s00_axi_wready</spirit:name>
494         <spirit:wire>
495           <spirit:direction>out</spirit:direction>
496           <spirit:wireTypeDefs>
497             <spirit:wireTypeDef>
498               <spirit:typeName>std_logic</spirit:typeName>
499               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
500               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
501             </spirit:wireTypeDef>
502           </spirit:wireTypeDefs>
503         </spirit:wire>
504       </spirit:port>
505       <spirit:port>
506         <spirit:name>s00_axi_bresp</spirit:name>
507         <spirit:wire>
508           <spirit:direction>out</spirit:direction>
509           <spirit:vector>
510             <spirit:left spirit:format="long">1</spirit:left>
511             <spirit:right spirit:format="long">0</spirit:right>
512           </spirit:vector>
513           <spirit:wireTypeDefs>
514             <spirit:wireTypeDef>
515               <spirit:typeName>std_logic_vector</spirit:typeName>
516               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
517               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
518             </spirit:wireTypeDef>
519           </spirit:wireTypeDefs>
520         </spirit:wire>
521       </spirit:port>
522       <spirit:port>
523         <spirit:name>s00_axi_bvalid</spirit:name>
524         <spirit:wire>
525           <spirit:direction>out</spirit:direction>
526           <spirit:wireTypeDefs>
527             <spirit:wireTypeDef>
528               <spirit:typeName>std_logic</spirit:typeName>
529               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
530               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
531             </spirit:wireTypeDef>
532           </spirit:wireTypeDefs>
533         </spirit:wire>
534       </spirit:port>
535       <spirit:port>
536         <spirit:name>s00_axi_bready</spirit:name>
537         <spirit:wire>
538           <spirit:direction>in</spirit:direction>
539           <spirit:wireTypeDefs>
540             <spirit:wireTypeDef>
541               <spirit:typeName>std_logic</spirit:typeName>
542               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
543               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
544             </spirit:wireTypeDef>
545           </spirit:wireTypeDefs>
546         </spirit:wire>
547       </spirit:port>
548       <spirit:port>
549         <spirit:name>s00_axi_araddr</spirit:name>
550         <spirit:wire>
551           <spirit:direction>in</spirit:direction>
552           <spirit:vector>
553             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH&apos;)) - 1)">4</spirit:left>
554             <spirit:right spirit:format="long">0</spirit:right>
555           </spirit:vector>
556           <spirit:wireTypeDefs>
557             <spirit:wireTypeDef>
558               <spirit:typeName>std_logic_vector</spirit:typeName>
559               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
560               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
561             </spirit:wireTypeDef>
562           </spirit:wireTypeDefs>
563         </spirit:wire>
564       </spirit:port>
565       <spirit:port>
566         <spirit:name>s00_axi_arprot</spirit:name>
567         <spirit:wire>
568           <spirit:direction>in</spirit:direction>
569           <spirit:vector>
570             <spirit:left spirit:format="long">2</spirit:left>
571             <spirit:right spirit:format="long">0</spirit:right>
572           </spirit:vector>
573           <spirit:wireTypeDefs>
574             <spirit:wireTypeDef>
575               <spirit:typeName>std_logic_vector</spirit:typeName>
576               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
577               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
578             </spirit:wireTypeDef>
579           </spirit:wireTypeDefs>
580         </spirit:wire>
581       </spirit:port>
582       <spirit:port>
583         <spirit:name>s00_axi_arvalid</spirit:name>
584         <spirit:wire>
585           <spirit:direction>in</spirit:direction>
586           <spirit:wireTypeDefs>
587             <spirit:wireTypeDef>
588               <spirit:typeName>std_logic</spirit:typeName>
589               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
590               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
591             </spirit:wireTypeDef>
592           </spirit:wireTypeDefs>
593         </spirit:wire>
594       </spirit:port>
595       <spirit:port>
596         <spirit:name>s00_axi_arready</spirit:name>
597         <spirit:wire>
598           <spirit:direction>out</spirit:direction>
599           <spirit:wireTypeDefs>
600             <spirit:wireTypeDef>
601               <spirit:typeName>std_logic</spirit:typeName>
602               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
603               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
604             </spirit:wireTypeDef>
605           </spirit:wireTypeDefs>
606         </spirit:wire>
607       </spirit:port>
608       <spirit:port>
609         <spirit:name>s00_axi_rdata</spirit:name>
610         <spirit:wire>
611           <spirit:direction>out</spirit:direction>
612           <spirit:vector>
613             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH&apos;)) - 1)">31</spirit:left>
614             <spirit:right spirit:format="long">0</spirit:right>
615           </spirit:vector>
616           <spirit:wireTypeDefs>
617             <spirit:wireTypeDef>
618               <spirit:typeName>std_logic_vector</spirit:typeName>
619               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
620               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
621             </spirit:wireTypeDef>
622           </spirit:wireTypeDefs>
623         </spirit:wire>
624       </spirit:port>
625       <spirit:port>
626         <spirit:name>s00_axi_rresp</spirit:name>
627         <spirit:wire>
628           <spirit:direction>out</spirit:direction>
629           <spirit:vector>
630             <spirit:left spirit:format="long">1</spirit:left>
631             <spirit:right spirit:format="long">0</spirit:right>
632           </spirit:vector>
633           <spirit:wireTypeDefs>
634             <spirit:wireTypeDef>
635               <spirit:typeName>std_logic_vector</spirit:typeName>
636               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
637               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
638             </spirit:wireTypeDef>
639           </spirit:wireTypeDefs>
640         </spirit:wire>
641       </spirit:port>
642       <spirit:port>
643         <spirit:name>s00_axi_rvalid</spirit:name>
644         <spirit:wire>
645           <spirit:direction>out</spirit:direction>
646           <spirit:wireTypeDefs>
647             <spirit:wireTypeDef>
648               <spirit:typeName>std_logic</spirit:typeName>
649               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
650               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
651             </spirit:wireTypeDef>
652           </spirit:wireTypeDefs>
653         </spirit:wire>
654       </spirit:port>
655       <spirit:port>
656         <spirit:name>s00_axi_rready</spirit:name>
657         <spirit:wire>
658           <spirit:direction>in</spirit:direction>
659           <spirit:wireTypeDefs>
660             <spirit:wireTypeDef>
661               <spirit:typeName>std_logic</spirit:typeName>
662               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
663               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
664             </spirit:wireTypeDef>
665           </spirit:wireTypeDefs>
666         </spirit:wire>
667       </spirit:port>
668       <spirit:port>
669         <spirit:name>s00_axi_aclk</spirit:name>
670         <spirit:wire>
671           <spirit:direction>in</spirit:direction>
672           <spirit:wireTypeDefs>
673             <spirit:wireTypeDef>
674               <spirit:typeName>std_logic</spirit:typeName>
675               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
676               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
677             </spirit:wireTypeDef>
678           </spirit:wireTypeDefs>
679         </spirit:wire>
680       </spirit:port>
681       <spirit:port>
682         <spirit:name>s00_axi_aresetn</spirit:name>
683         <spirit:wire>
684           <spirit:direction>in</spirit:direction>
685           <spirit:wireTypeDefs>
686             <spirit:wireTypeDef>
687               <spirit:typeName>std_logic</spirit:typeName>
688               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
689               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
690             </spirit:wireTypeDef>
691           </spirit:wireTypeDefs>
692         </spirit:wire>
693       </spirit:port>
694     </spirit:ports>
695     <spirit:modelParameters>
696       <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="integer">
697         <spirit:name>C_S00_AXI_DATA_WIDTH</spirit:name>
698         <spirit:displayName>C S00 AXI DATA WIDTH</spirit:displayName>
699         <spirit:description>Width of S_AXI data bus</spirit:description>
700         <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH" spirit:order="3" spirit:rangeType="long">32</spirit:value>
701       </spirit:modelParameter>
702       <spirit:modelParameter spirit:dataType="integer">
703         <spirit:name>C_S00_AXI_ADDR_WIDTH</spirit:name>
704         <spirit:displayName>C S00 AXI ADDR WIDTH</spirit:displayName>
705         <spirit:description>Width of S_AXI address bus</spirit:description>
706         <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH" spirit:order="4" spirit:rangeType="long">5</spirit:value>
707       </spirit:modelParameter>
708     </spirit:modelParameters>
709   </spirit:model>
710   <spirit:choices>
711     <spirit:choice>
712       <spirit:name>choice_list_6fc15197</spirit:name>
713       <spirit:enumeration>32</spirit:enumeration>
714     </spirit:choice>
715     <spirit:choice>
716       <spirit:name>choice_pairs_ce1226b1</spirit:name>
717       <spirit:enumeration spirit:text="true">1</spirit:enumeration>
718       <spirit:enumeration spirit:text="false">0</spirit:enumeration>
719     </spirit:choice>
720   </spirit:choices>
721   <spirit:fileSets>
722     <spirit:fileSet>
723       <spirit:name>xilinx_vhdlsynthesis_view_fileset</spirit:name>
724       <spirit:file>
725         <spirit:name>hdl/servo_led_ps2_v1_0_S00_AXI.vhd</spirit:name>
726         <spirit:fileType>vhdlSource</spirit:fileType>
727       </spirit:file>
728       <spirit:file>
729         <spirit:name>hdl/cnt_div.vhdl</spirit:name>
730         <spirit:fileType>vhdlSource</spirit:fileType>
731       </spirit:file>
732       <spirit:file>
733         <spirit:name>hdl/pulse_gen.vhdl</spirit:name>
734         <spirit:fileType>vhdlSource</spirit:fileType>
735       </spirit:file>
736       <spirit:file>
737         <spirit:name>hdl/servo_led_ps2_v1_0.vhd</spirit:name>
738         <spirit:fileType>vhdlSource</spirit:fileType>
739         <spirit:userFileType>CHECKSUM_e1033dbf</spirit:userFileType>
740       </spirit:file>
741     </spirit:fileSet>
742     <spirit:fileSet>
743       <spirit:name>xilinx_vhdlbehavioralsimulation_view_fileset</spirit:name>
744       <spirit:file>
745         <spirit:name>hdl/servo_led_ps2_v1_0_S00_AXI.vhd</spirit:name>
746         <spirit:fileType>vhdlSource</spirit:fileType>
747       </spirit:file>
748       <spirit:file>
749         <spirit:name>hdl/cnt_div.vhdl</spirit:name>
750         <spirit:fileType>vhdlSource</spirit:fileType>
751       </spirit:file>
752       <spirit:file>
753         <spirit:name>hdl/pulse_gen.vhdl</spirit:name>
754         <spirit:fileType>vhdlSource</spirit:fileType>
755       </spirit:file>
756       <spirit:file>
757         <spirit:name>hdl/servo_led_ps2_v1_0.vhd</spirit:name>
758         <spirit:fileType>vhdlSource</spirit:fileType>
759       </spirit:file>
760     </spirit:fileSet>
761     <spirit:fileSet>
762       <spirit:name>xilinx_softwaredriver_view_fileset</spirit:name>
763       <spirit:file>
764         <spirit:name>drivers/servo_led_ps2_v1_0/data/servo_led_ps2.mdd</spirit:name>
765         <spirit:userFileType>mdd</spirit:userFileType>
766         <spirit:userFileType>driver_mdd</spirit:userFileType>
767       </spirit:file>
768       <spirit:file>
769         <spirit:name>drivers/servo_led_ps2_v1_0/data/servo_led_ps2.tcl</spirit:name>
770         <spirit:fileType>tclSource</spirit:fileType>
771         <spirit:userFileType>driver_tcl</spirit:userFileType>
772       </spirit:file>
773       <spirit:file>
774         <spirit:name>drivers/servo_led_ps2_v1_0/src/Makefile</spirit:name>
775         <spirit:userFileType>driver_src</spirit:userFileType>
776       </spirit:file>
777       <spirit:file>
778         <spirit:name>drivers/servo_led_ps2_v1_0/src/servo_led_ps2.h</spirit:name>
779         <spirit:fileType>cSource</spirit:fileType>
780         <spirit:userFileType>driver_src</spirit:userFileType>
781       </spirit:file>
782       <spirit:file>
783         <spirit:name>drivers/servo_led_ps2_v1_0/src/servo_led_ps2.c</spirit:name>
784         <spirit:fileType>cSource</spirit:fileType>
785         <spirit:userFileType>driver_src</spirit:userFileType>
786       </spirit:file>
787       <spirit:file>
788         <spirit:name>drivers/servo_led_ps2_v1_0/src/servo_led_ps2_selftest.c</spirit:name>
789         <spirit:fileType>cSource</spirit:fileType>
790         <spirit:userFileType>driver_src</spirit:userFileType>
791       </spirit:file>
792     </spirit:fileSet>
793     <spirit:fileSet>
794       <spirit:name>xilinx_xpgui_view_fileset</spirit:name>
795       <spirit:file>
796         <spirit:name>xgui/servo_led_ps2_v1_0.tcl</spirit:name>
797         <spirit:fileType>tclSource</spirit:fileType>
798         <spirit:userFileType>CHECKSUM_fd592ead</spirit:userFileType>
799         <spirit:userFileType>XGUI_VERSION_2</spirit:userFileType>
800       </spirit:file>
801     </spirit:fileSet>
802     <spirit:fileSet>
803       <spirit:name>bd_tcl_view_fileset</spirit:name>
804       <spirit:file>
805         <spirit:name>bd/bd.tcl</spirit:name>
806         <spirit:fileType>tclSource</spirit:fileType>
807       </spirit:file>
808     </spirit:fileSet>
809   </spirit:fileSets>
810   <spirit:description>Four pulse controlled servos block with LED and PS2 alternatives</spirit:description>
811   <spirit:parameters>
812     <spirit:parameter>
813       <spirit:name>C_S00_AXI_DATA_WIDTH</spirit:name>
814       <spirit:displayName>C S00 AXI DATA WIDTH</spirit:displayName>
815       <spirit:description>Width of S_AXI data bus</spirit:description>
816       <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_DATA_WIDTH" spirit:choiceRef="choice_list_6fc15197" spirit:order="3">32</spirit:value>
817       <spirit:vendorExtensions>
818         <xilinx:parameterInfo>
819           <xilinx:enablement>
820             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_DATA_WIDTH">false</xilinx:isEnabled>
821           </xilinx:enablement>
822         </xilinx:parameterInfo>
823       </spirit:vendorExtensions>
824     </spirit:parameter>
825     <spirit:parameter>
826       <spirit:name>C_S00_AXI_ADDR_WIDTH</spirit:name>
827       <spirit:displayName>C S00 AXI ADDR WIDTH</spirit:displayName>
828       <spirit:description>Width of S_AXI address bus</spirit:description>
829       <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_ADDR_WIDTH" spirit:order="4" spirit:rangeType="long">5</spirit:value>
830       <spirit:vendorExtensions>
831         <xilinx:parameterInfo>
832           <xilinx:enablement>
833             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_ADDR_WIDTH">false</xilinx:isEnabled>
834           </xilinx:enablement>
835         </xilinx:parameterInfo>
836       </spirit:vendorExtensions>
837     </spirit:parameter>
838     <spirit:parameter>
839       <spirit:name>C_S00_AXI_BASEADDR</spirit:name>
840       <spirit:displayName>C S00 AXI BASEADDR</spirit:displayName>
841       <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_BASEADDR" spirit:order="5" spirit:bitStringLength="32">0xFFFFFFFF</spirit:value>
842       <spirit:vendorExtensions>
843         <xilinx:parameterInfo>
844           <xilinx:enablement>
845             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_BASEADDR">false</xilinx:isEnabled>
846           </xilinx:enablement>
847         </xilinx:parameterInfo>
848       </spirit:vendorExtensions>
849     </spirit:parameter>
850     <spirit:parameter>
851       <spirit:name>C_S00_AXI_HIGHADDR</spirit:name>
852       <spirit:displayName>C S00 AXI HIGHADDR</spirit:displayName>
853       <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_HIGHADDR" spirit:order="6" spirit:bitStringLength="32">0x00000000</spirit:value>
854       <spirit:vendorExtensions>
855         <xilinx:parameterInfo>
856           <xilinx:enablement>
857             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_HIGHADDR">false</xilinx:isEnabled>
858           </xilinx:enablement>
859         </xilinx:parameterInfo>
860       </spirit:vendorExtensions>
861     </spirit:parameter>
862     <spirit:parameter>
863       <spirit:name>Component_Name</spirit:name>
864       <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">servo_led_ps2_v1_0</spirit:value>
865     </spirit:parameter>
866   </spirit:parameters>
867   <spirit:vendorExtensions>
868     <xilinx:coreExtensions>
869       <xilinx:supportedFamilies>
870         <xilinx:family xilinx:lifeCycle="Pre-Production">zynq</xilinx:family>
871       </xilinx:supportedFamilies>
872       <xilinx:taxonomies>
873         <xilinx:taxonomy>AXI_Peripheral</xilinx:taxonomy>
874       </xilinx:taxonomies>
875       <xilinx:displayName>servo_led_ps2_v1.0</xilinx:displayName>
876       <xilinx:coreRevision>2</xilinx:coreRevision>
877       <xilinx:coreCreationDateTime>2017-02-14T18:17:16Z</xilinx:coreCreationDateTime>
878       <xilinx:tags>
879         <xilinx:tag xilinx:name="user.org:user:servo_led_ps2:1.0_ARCHIVE_LOCATION">/home/pi/fpga/zynq/canbech-sw/system/ip/servo_led_ps2_1.0</xilinx:tag>
880       </xilinx:tags>
881     </xilinx:coreExtensions>
882     <xilinx:packagingInfo>
883       <xilinx:xilinxVersion>2016.1</xilinx:xilinxVersion>
884       <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="ab027bc6"/>
885       <xilinx:checksum xilinx:scope="memoryMaps" xilinx:value="493665f4"/>
886       <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="b9262cf0"/>
887       <xilinx:checksum xilinx:scope="ports" xilinx:value="99629858"/>
888       <xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="ce3109b2"/>
889       <xilinx:checksum xilinx:scope="parameters" xilinx:value="32fcab1b"/>
890     </xilinx:packagingInfo>
891   </spirit:vendorExtensions>
892 </spirit:component>