]> rtime.felk.cvut.cz Git - fpga/zynq/canbench-sw.git/blob - system/ip/display_16bit_cmd_data_bus_1.0/xgui/display_16bit_cmd_data_bus_v1_0.tcl
microzed_apo: Include skeleton for 16 bit bus connected display with local controller.
[fpga/zynq/canbench-sw.git] / system / ip / display_16bit_cmd_data_bus_1.0 / xgui / display_16bit_cmd_data_bus_v1_0.tcl
1 # Definitional proc to organize widgets for parameters.
2 proc init_gui { IPINST } {
3   ipgui::add_param $IPINST -name "Component_Name"
4   #Adding Page
5   set Page_0 [ipgui::add_page $IPINST -name "Page 0"]
6   set C_S00_AXI_DATA_WIDTH [ipgui::add_param $IPINST -name "C_S00_AXI_DATA_WIDTH" -parent ${Page_0} -widget comboBox]
7   set_property tooltip {Width of S_AXI data bus} ${C_S00_AXI_DATA_WIDTH}
8   set C_S00_AXI_ADDR_WIDTH [ipgui::add_param $IPINST -name "C_S00_AXI_ADDR_WIDTH" -parent ${Page_0}]
9   set_property tooltip {Width of S_AXI address bus} ${C_S00_AXI_ADDR_WIDTH}
10   ipgui::add_param $IPINST -name "C_S00_AXI_BASEADDR" -parent ${Page_0}
11   ipgui::add_param $IPINST -name "C_S00_AXI_HIGHADDR" -parent ${Page_0}
12   set C_M00_AXI_START_DATA_VALUE [ipgui::add_param $IPINST -name "C_M00_AXI_START_DATA_VALUE" -parent ${Page_0}]
13   set_property tooltip {The master will start generating data from the C_M_START_DATA_VALUE value} ${C_M00_AXI_START_DATA_VALUE}
14   set C_M00_AXI_TARGET_SLAVE_BASE_ADDR [ipgui::add_param $IPINST -name "C_M00_AXI_TARGET_SLAVE_BASE_ADDR" -parent ${Page_0}]
15   set_property tooltip {The master requires a target slave base address.
16     -- The master will initiate read and write transactions on the slave with base address specified here as a parameter.} ${C_M00_AXI_TARGET_SLAVE_BASE_ADDR}
17   set C_M00_AXI_ADDR_WIDTH [ipgui::add_param $IPINST -name "C_M00_AXI_ADDR_WIDTH" -parent ${Page_0}]
18   set_property tooltip {Width of M_AXI address bus.
19     -- The master generates the read and write addresses of width specified as C_M_AXI_ADDR_WIDTH.} ${C_M00_AXI_ADDR_WIDTH}
20   set C_M00_AXI_DATA_WIDTH [ipgui::add_param $IPINST -name "C_M00_AXI_DATA_WIDTH" -parent ${Page_0} -widget comboBox]
21   set_property tooltip {Width of M_AXI data bus.
22     -- The master issues write data and accept read data where the width of the data bus is C_M_AXI_DATA_WIDTH} ${C_M00_AXI_DATA_WIDTH}
23   set C_M00_AXI_TRANSACTIONS_NUM [ipgui::add_param $IPINST -name "C_M00_AXI_TRANSACTIONS_NUM" -parent ${Page_0}]
24   set_property tooltip {Transaction number is the number of write
25     -- and read transactions the master will perform as a part of this example memory test.} ${C_M00_AXI_TRANSACTIONS_NUM}
26
27
28 }
29
30 proc update_PARAM_VALUE.C_S00_AXI_DATA_WIDTH { PARAM_VALUE.C_S00_AXI_DATA_WIDTH } {
31         # Procedure called to update C_S00_AXI_DATA_WIDTH when any of the dependent parameters in the arguments change
32 }
33
34 proc validate_PARAM_VALUE.C_S00_AXI_DATA_WIDTH { PARAM_VALUE.C_S00_AXI_DATA_WIDTH } {
35         # Procedure called to validate C_S00_AXI_DATA_WIDTH
36         return true
37 }
38
39 proc update_PARAM_VALUE.C_S00_AXI_ADDR_WIDTH { PARAM_VALUE.C_S00_AXI_ADDR_WIDTH } {
40         # Procedure called to update C_S00_AXI_ADDR_WIDTH when any of the dependent parameters in the arguments change
41 }
42
43 proc validate_PARAM_VALUE.C_S00_AXI_ADDR_WIDTH { PARAM_VALUE.C_S00_AXI_ADDR_WIDTH } {
44         # Procedure called to validate C_S00_AXI_ADDR_WIDTH
45         return true
46 }
47
48 proc update_PARAM_VALUE.C_S00_AXI_BASEADDR { PARAM_VALUE.C_S00_AXI_BASEADDR } {
49         # Procedure called to update C_S00_AXI_BASEADDR when any of the dependent parameters in the arguments change
50 }
51
52 proc validate_PARAM_VALUE.C_S00_AXI_BASEADDR { PARAM_VALUE.C_S00_AXI_BASEADDR } {
53         # Procedure called to validate C_S00_AXI_BASEADDR
54         return true
55 }
56
57 proc update_PARAM_VALUE.C_S00_AXI_HIGHADDR { PARAM_VALUE.C_S00_AXI_HIGHADDR } {
58         # Procedure called to update C_S00_AXI_HIGHADDR when any of the dependent parameters in the arguments change
59 }
60
61 proc validate_PARAM_VALUE.C_S00_AXI_HIGHADDR { PARAM_VALUE.C_S00_AXI_HIGHADDR } {
62         # Procedure called to validate C_S00_AXI_HIGHADDR
63         return true
64 }
65
66 proc update_PARAM_VALUE.C_M00_AXI_START_DATA_VALUE { PARAM_VALUE.C_M00_AXI_START_DATA_VALUE } {
67         # Procedure called to update C_M00_AXI_START_DATA_VALUE when any of the dependent parameters in the arguments change
68 }
69
70 proc validate_PARAM_VALUE.C_M00_AXI_START_DATA_VALUE { PARAM_VALUE.C_M00_AXI_START_DATA_VALUE } {
71         # Procedure called to validate C_M00_AXI_START_DATA_VALUE
72         return true
73 }
74
75 proc update_PARAM_VALUE.C_M00_AXI_TARGET_SLAVE_BASE_ADDR { PARAM_VALUE.C_M00_AXI_TARGET_SLAVE_BASE_ADDR } {
76         # Procedure called to update C_M00_AXI_TARGET_SLAVE_BASE_ADDR when any of the dependent parameters in the arguments change
77 }
78
79 proc validate_PARAM_VALUE.C_M00_AXI_TARGET_SLAVE_BASE_ADDR { PARAM_VALUE.C_M00_AXI_TARGET_SLAVE_BASE_ADDR } {
80         # Procedure called to validate C_M00_AXI_TARGET_SLAVE_BASE_ADDR
81         return true
82 }
83
84 proc update_PARAM_VALUE.C_M00_AXI_ADDR_WIDTH { PARAM_VALUE.C_M00_AXI_ADDR_WIDTH } {
85         # Procedure called to update C_M00_AXI_ADDR_WIDTH when any of the dependent parameters in the arguments change
86 }
87
88 proc validate_PARAM_VALUE.C_M00_AXI_ADDR_WIDTH { PARAM_VALUE.C_M00_AXI_ADDR_WIDTH } {
89         # Procedure called to validate C_M00_AXI_ADDR_WIDTH
90         return true
91 }
92
93 proc update_PARAM_VALUE.C_M00_AXI_DATA_WIDTH { PARAM_VALUE.C_M00_AXI_DATA_WIDTH } {
94         # Procedure called to update C_M00_AXI_DATA_WIDTH when any of the dependent parameters in the arguments change
95 }
96
97 proc validate_PARAM_VALUE.C_M00_AXI_DATA_WIDTH { PARAM_VALUE.C_M00_AXI_DATA_WIDTH } {
98         # Procedure called to validate C_M00_AXI_DATA_WIDTH
99         return true
100 }
101
102 proc update_PARAM_VALUE.C_M00_AXI_TRANSACTIONS_NUM { PARAM_VALUE.C_M00_AXI_TRANSACTIONS_NUM } {
103         # Procedure called to update C_M00_AXI_TRANSACTIONS_NUM when any of the dependent parameters in the arguments change
104 }
105
106 proc validate_PARAM_VALUE.C_M00_AXI_TRANSACTIONS_NUM { PARAM_VALUE.C_M00_AXI_TRANSACTIONS_NUM } {
107         # Procedure called to validate C_M00_AXI_TRANSACTIONS_NUM
108         return true
109 }
110
111
112 proc update_MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH { MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH PARAM_VALUE.C_S00_AXI_DATA_WIDTH } {
113         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
114         set_property value [get_property value ${PARAM_VALUE.C_S00_AXI_DATA_WIDTH}] ${MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH}
115 }
116
117 proc update_MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH { MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH PARAM_VALUE.C_S00_AXI_ADDR_WIDTH } {
118         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
119         set_property value [get_property value ${PARAM_VALUE.C_S00_AXI_ADDR_WIDTH}] ${MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH}
120 }
121
122 proc update_MODELPARAM_VALUE.C_M00_AXI_START_DATA_VALUE { MODELPARAM_VALUE.C_M00_AXI_START_DATA_VALUE PARAM_VALUE.C_M00_AXI_START_DATA_VALUE } {
123         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
124         set_property value [get_property value ${PARAM_VALUE.C_M00_AXI_START_DATA_VALUE}] ${MODELPARAM_VALUE.C_M00_AXI_START_DATA_VALUE}
125 }
126
127 proc update_MODELPARAM_VALUE.C_M00_AXI_TARGET_SLAVE_BASE_ADDR { MODELPARAM_VALUE.C_M00_AXI_TARGET_SLAVE_BASE_ADDR PARAM_VALUE.C_M00_AXI_TARGET_SLAVE_BASE_ADDR } {
128         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
129         set_property value [get_property value ${PARAM_VALUE.C_M00_AXI_TARGET_SLAVE_BASE_ADDR}] ${MODELPARAM_VALUE.C_M00_AXI_TARGET_SLAVE_BASE_ADDR}
130 }
131
132 proc update_MODELPARAM_VALUE.C_M00_AXI_ADDR_WIDTH { MODELPARAM_VALUE.C_M00_AXI_ADDR_WIDTH PARAM_VALUE.C_M00_AXI_ADDR_WIDTH } {
133         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
134         set_property value [get_property value ${PARAM_VALUE.C_M00_AXI_ADDR_WIDTH}] ${MODELPARAM_VALUE.C_M00_AXI_ADDR_WIDTH}
135 }
136
137 proc update_MODELPARAM_VALUE.C_M00_AXI_DATA_WIDTH { MODELPARAM_VALUE.C_M00_AXI_DATA_WIDTH PARAM_VALUE.C_M00_AXI_DATA_WIDTH } {
138         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
139         set_property value [get_property value ${PARAM_VALUE.C_M00_AXI_DATA_WIDTH}] ${MODELPARAM_VALUE.C_M00_AXI_DATA_WIDTH}
140 }
141
142 proc update_MODELPARAM_VALUE.C_M00_AXI_TRANSACTIONS_NUM { MODELPARAM_VALUE.C_M00_AXI_TRANSACTIONS_NUM PARAM_VALUE.C_M00_AXI_TRANSACTIONS_NUM } {
143         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
144         set_property value [get_property value ${PARAM_VALUE.C_M00_AXI_TRANSACTIONS_NUM}] ${MODELPARAM_VALUE.C_M00_AXI_TRANSACTIONS_NUM}
145 }
146