]> rtime.felk.cvut.cz Git - fpga/virtex2/msp_motion.git/blobdiff - msp_motion.prj
Added index capture register hardware
[fpga/virtex2/msp_motion.git] / msp_motion.prj
index af5a6358ff8e4cbca4189f6439ed0eb82bbd8a34..0dacb3853a28dd9e26b07a878492da5197b8c65a 100644 (file)
@@ -69,6 +69,9 @@ vhdl    work quadcount/qcounter.vhd
 vhdl    work mcu_periph/gpio.vhd
 vhdl    work mcu_periph/qcounter_mcu16.vhd
 
+vhdl    work mcu_periph/event_rwc.vhd
+vhdl    work mcu_periph/capture_reg.vhd
+vhdl    work mcu_periph/capture_reg16.vhd
 
 #==============================================================================#
 # Top-level design file                                                        #