2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
6 --------------------------------------------------------------------------------
8 entity msp430_cmdproc is
11 CLK_24MHz : in std_logic;
18 --------------------------------------------------------------------------------
20 architecture rtl of msp430_cmdproc is
22 --------------------------------------------------------------------------------
26 openMSP430_1 : entity work.openMSP430_8_32_mul
35 per_dout => (others => '0'),
39 irq => (others => '0'),