2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
10 architecture testbench of tb_baud_gen is
17 scale : in std_logic_vector (15 downto 0);
18 clk_baud : out std_logic
22 signal clk : std_logic;
23 signal ce : std_logic;
24 signal reset : std_logic;
26 constant period : time := 2 us;
27 constant offset : time := 2 us;
29 signal scale : std_logic_vector (15 downto 0);
30 signal clk_baud : std_logic;
32 --------------------------------------------------------------------------------
35 UUT : baud_gen port map (
62 wait for 1.2 * period;
80 wait until reset = '0' and clk = '1';
81 wait for 0.1 * period;