2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
10 scale : in std_logic_vector (15 downto 0);
11 clk_baud : out std_logic
15 --------------------------------------------------------------------------------
17 architecture behavioral of baud_gen is
19 signal counter : std_logic_vector (15 downto 0);
20 signal clk_baud_s : std_logic;
22 --------------------------------------------------------------------------------
29 counter <= (others => '0');
32 elsif (rising_edge(clk)) then
35 clk_baud_s <= not clk_baud_s;
38 counter <= counter - 1;
44 --------------------------------------------------------------------------------
46 clk_baud <= clk_baud_s;