]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/commit
Hodinovy signal zesilen pomoci CLKINT. SPI otestovano smer rpi->fpga (pomoci vystupu...
authorMartin Prudek <prudemar@fel.cvut.cz>
Wed, 25 Mar 2015 18:51:04 +0000 (19:51 +0100)
committerMartin Prudek <prudemar@fel.cvut.cz>
Wed, 25 Mar 2015 18:51:04 +0000 (19:51 +0100)
commit53f3767eab71c606f41ff5420a594e16867ff2c3
tree6e167b81e1cffb0a6d5662b42659e4a5cf3ee9f1
parent37436119047941752f4bdc301fa2baa415391fef
Hodinovy signal zesilen pomoci CLKINT. SPI otestovano smer rpi->fpga (pomoci vystupu na pinech)
pmsm-control/rpi_mc_simple_dc.vhdl