]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/commit
otestovan 'spi repeater' neuplny zpetny prenos (chybny prvni a posledni byte). Je...
authorMartin Prudek <prudemar@fel.cvut.cz>
Wed, 25 Mar 2015 19:13:55 +0000 (20:13 +0100)
committerMartin Prudek <prudemar@fel.cvut.cz>
Wed, 25 Mar 2015 19:13:55 +0000 (20:13 +0100)
commit3195d1e08e675b2a7a5b70c8b276eb825abf77c8
tree980177c5647da1224ec11ff904ab173c33ad315d
parent53f3767eab71c606f41ff5420a594e16867ff2c3
otestovan 'spi repeater' neuplny zpetny prenos (chybny prvni a posledni byte). Je treba ale pridat udalost na falling edge CS. Pouzivan CPHA=0 CPOL=0 (spi mod 0)
pmsm-control/rpi_mc_simple_dc.vhdl