]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blobdiff - pmsm-control/adc_reader.vhdl
Change license to LGPL and GPLv3+ options, fill authors according their real work...
[fpga/rpi-motor-control.git] / pmsm-control / adc_reader.vhdl
index cda8cc74c61e0b652e435a51f427cc36318a331e..d254d46fd1f27d66ca78c42e5a2de85defffb600 100644 (file)
@@ -1,4 +1,18 @@
-
+--
+-- * Raspberry Pi BLDC/PMSM motor control design for RPi-MC-1 board *
+-- SPI connected multichannel current ADC read and averaging
+--
+-- (c) 2015 Martin Prudek <prudemar@fel.cvut.cz>
+-- Czech Technical University in Prague
+--
+-- Project supervision and original project idea
+-- idea by Pavel Pisa <pisa@cmp.felk.cvut.cz>
+--
+-- Related RPi-MC-1 hardware is designed by Petr Porazil,
+-- PiKRON Ltd  <http://www.pikron.com>
+--
+-- license: GNU LGPL and GPLv3+
+--
 
 library ieee;
 use ieee.std_logic_1164.all;