]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blobdiff - pmsm-control/div256.vhdl
Added UNTESTED version of spi-commands-lost detection.
[fpga/rpi-motor-control.git] / pmsm-control / div256.vhdl
diff --git a/pmsm-control/div256.vhdl b/pmsm-control/div256.vhdl
new file mode 100644 (file)
index 0000000..26be680
--- /dev/null
@@ -0,0 +1,32 @@
+-- provides frequency division by 256 (8 bit divider)
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.util.all;
+
+entity div256 is
+
+port (
+       clk_in: in std_logic;
+       div256: out std_logic
+);
+end div256;
+
+
+architecture behavioral of div256 is
+       signal count : std_logic_vector (8 downto 0);
+begin
+       
+       div256 <= count(8);
+       
+       seq : process 
+       begin
+               wait until (clk_in'event and clk_in='1');
+               count <= std_logic_vector(unsigned(count) + 1);
+       end process;
+
+       
+               
+end behavioral;
+