]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blobdiff - pmsm-control/dff3.vhdl
Remove unused package and swap DIP switch order to match board.
[fpga/rpi-motor-control.git] / pmsm-control / dff3.vhdl
index 7066f83cbfb7247fc82cdd1cf966f12867a954ad..28dcca82bc4e74755bb7c931f86a7e3c54b380e5 100644 (file)
@@ -3,7 +3,6 @@ use ieee.std_logic_1164.all;
 use ieee.std_logic_arith.all;
 use ieee.std_logic_unsigned.all;
 use ieee.numeric_std.all;
-use work.lx_rocon_pkg.all;
 
 -- D circuit (filtered)