X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/rpi-motor-control.git/blobdiff_plain/269741253ca23df156068ac859f2518f6b06873d..621d152c11cabf6497b670605aa9817809479a6b:/pmsm-control/dff3.vhdl diff --git a/pmsm-control/dff3.vhdl b/pmsm-control/dff3.vhdl index 7066f83..28dcca8 100644 --- a/pmsm-control/dff3.vhdl +++ b/pmsm-control/dff3.vhdl @@ -3,7 +3,6 @@ use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; -use work.lx_rocon_pkg.all; -- D circuit (filtered)