]> rtime.felk.cvut.cz Git - fpga/quadcount.git/commit
Naming convention changed
authorVladimir Burian <buriavl2@fel.cvut.cz>
Thu, 14 Apr 2011 11:55:45 +0000 (13:55 +0200)
committerVladimir Burian <buriavl2@fel.cvut.cz>
Thu, 14 Apr 2011 12:26:17 +0000 (14:26 +0200)
commitd0648eae4a073b27df0fbfb16480197154207c7c
treea989bf8ac22a7a89b016ca55b361482064240f9d
parent700e2963b08c7861b9b8b0b3d76eda531d190333
Naming convention changed

VHDL files extension modified to .vhd and testbench renamed
to tb_quadcount.vhd.
Makefile
dff.vhd [moved from dff.vhdl with 100% similarity]
qcounter.vhd [moved from qcounter.vhdl with 100% similarity]
tb_qcounter.vhd [moved from qctest.vhdl with 98% similarity]